Preguntas con etiqueta 'system-verilog'

1
respuesta

Usando dos relojes diferentes en mi afirmación

Estoy usando SystemVerilog para escribir aserciones para probar el comportamiento de mi diseño. En mi diseño tengo dos relojes: el habitual CLK_int y otro reloj llamado I2C_IF_SCL_out . En la especificación de mi diseño: SDA_T...
1
respuesta

Conectado a varios controladores Verilog de problemas

Después de sintetizarlo, el error se produjo de esta manera: Multi-source in Unit <BCDcountmod> on signal <BCD0<3>>; this signal is connected to multiple drivers.> ¿Alguna solución? ( Aquí está debajo de mi...
1
respuesta

¿Cómo afirmar múltiples aserciones concurrentes en el sistema verilog?

Quiero hacer algo como esto mediante aserciones concurrentes (quiero comprobar que cuando los cambios de from_clk to_clk deben cambiar y cuando los cambios to_clk from_clk deben cambiar): ($changed(from_clk) |-> $changed(to_clk)) &&...
1
respuesta

¿Por qué parece que no hay demora en la lectura de un ram de bloque?

Estoy tratando de aprender Verilog y sentí curiosidad por la razón por la cual el bloque de mi FPGA parece proporcionar los datos que solicito al instante. Esperaba que hubiera algunos relojes que tendría que esperar antes de que mis resultados...
1
respuesta

always_ff siempre se ejecuta antes que always_comb en ModelSim

Tengo un ejercicio que separa la lógica de peine de la lógica secuencial en el bloque always_ff. Sin embargo, encontré que el orden de las ejecuciones always_comb y always_ff es diferente entre los diferentes simuladores. Por lo que sé, el...
1
respuesta

Si hay restricciones en SystemVerilog

Tengo una clase de elemento de secuencia con variables rand: class my_seq_item extends uvm_sequence_item; rand bit a, b, c, d; Quiero generar un bit aleatorio para 'd' solo si 'b' y 'c' son 0. ¿Cómo escribo una restricción para esto?     
1
respuesta

Instanciación de verilog de sistema del módulo parametrizado

Si tengo un mymodule que tiene parámetros y estoy creando una instancia de este mymodule dentro de un bloque de nivel superior que tiene algunos submódulos, y mymodule también es un submoulde al momento de crear una instancia de mymodule dentro...
1
respuesta

Cómo afirmar múltiples propiedades en System Verilog

¿Cuál es la manera más eficiente de afirmar múltiples propiedades en SV? Ejemplo: property x; if(expr1) a===b; endproperty property y; if(expr2) c===d; endproperty ¿Se necesita algo como esto: assert (x &&...
1
respuesta

Declaración de importación de SystemVerilog fuera de la definición del módulo

¿Qué significa una declaración de importación fuera de de una definición de módulo (| clase | paquete)? ¿Se aplica a todo el archivo? ¿Es incluso legal? No lo veo en IEEE Std 1800 ™ -2012. Parece ser tolerado por ncsim (15.10-s008) -...
1
respuesta

Redefiniendo un parámetro en verilog

Soy nuevo en verilog y tengo una pregunta. ¿Puedo inicializar un parámetro en el alcance global y reinicializarlo en el alcance del módulo? parameter GLOB_FOO = 5; module mod2 (in1,clk,out1); parameter GLOB_FOO = 7; input in1,clk;...