Preguntas con etiqueta 'system-verilog'

6
respuestas

¿Cuál es la diferencia entre las pruebas y la verificación?

Todos los libros de texto que he visto explican en gran medida el hecho de que test y verification son dos diferentes conceptos Sin embargo, ninguno de ellos proporciona una distinción clara (o lo suficientemente clara para mí, por fin). P...
3
respuestas

¿Por qué no se pueden sintetizar los retrasos en Verilog?

Siempre he leído que los retrasos declarados en el código RTL nunca se pueden sintetizar. Están diseñados solo para fines de simulación y las herramientas de síntesis modernas simplemente ignorarán las declaraciones de demoras en el código. P...
2
respuestas

diferencia entre y en verilog?

¿Cuál es la diferencia entre >> y >>> en verilog / system verilog? Sé que == prueba solo para 1 y 0, mientras que === prueba para 1, 0, X, Z. Entonces, ¿cómo es eso similar al operador de cambio?     
2
respuestas

diferencia entre HVL y HDL

El lenguaje de descripción de hardware describe nuestro circuito, pero ¿qué hace el lenguaje de verificación de hardware? ¿Cómo se verifica el diseño?     
2
respuestas

Traducción de Systemverilog a Verilog

Tengo un bloque de código (varios archivos) en Systemverilog. Estoy usando el flujo de herramientas Xilinx que no comprende SystemVerilog (una parte antigua). ¿Existe una herramienta (o un libro de reglas) que pueda usar para convertir SystemVer...
3
respuestas

¿Cómo implementar de manera eficiente un solo impulso de salida desde una entrada larga en Altera?

Tengo un reloj rápido y un interruptor llamado "listo". Cuando se gira el interruptor (listo va ALTO), me gustaría que la salida pcEn produzca un pulso que dura solo un ciclo de reloj. pcEn solo emitirá otro pulso la próxima vez que esté listo H...
2
respuestas

Módulos genéricos de Verilog del sistema y puertos no utilizados

He escrito un FIFO parametrizado para su reutilización. Como quiero usar el FIFO en varios lugares, agregué varias señales de salida para el estado de relleno, como full , empty , almostFull y almostEmpty . Sin embarg...
1
respuesta

¿Cómo hago uso de los multiplicadores para generar un sumador simple?

Estoy tratando de sintetizar un circuito de Altera usando la menor cantidad posible de elementos lógicos. Además, los multiplicadores integrados no cuentan en contra de los elementos lógicos, por lo que debería estar usándolos. Hasta ahora el ci...
1
respuesta

Señal indefinida en simulación

Estoy tratando de verificar un diseño escrito en VHDL usando las aserciones de SystemVerilog. sin embargo, tengo un problema cuando tengo una señal 'X' no definida Por ejemplo, aquí hay un código de un comparador: entity FP_comparator_V2 is...
2
respuestas

Señales seguras en el tiempo de arranque para evitar operaciones no deseadas

¿Cómo puedo estar seguro de que, al momento del arranque, mi módulo no obtendrá valores aleatorios de sus señales de control y escribirá en una dirección * antes de restablecer el módulo? * (o cualquier cosa que no deba hacerse antes de res...