Preguntas con etiqueta 'quartus'

1
respuesta

Error "error" del programador Nano-Soc

Soy muy nuevo en la programación FPGA. Conseguí mi tarjeta Atlas DE0-Nano-SoC 5CSEMA4U23C5N hoy y ahora estoy intentando programar la tarjeta usando Quartus Prime Lite. Creé un código VHDL y ejecuté el análisis y la síntesis con éxito, completé...
0
respuestas

Modelsim: No se ha resuelto la referencia defparam a algún lugar

En Quartus ii schematic diagram , he generado un lpm_ff . Entonces he convertido el diseño a un archivo .v. cuando quiero usar este flip-flop en un módulo, se compila sin problemas, pero cuando quiero simularlo con modelsim re...
0
respuestas

Cómo ver los valores de cable en Altera Quartus Prime

Me gustaría poder ver formas de onda que muestran nodos internos en un circuito especificado en Verilog en Altera Quartus Prime. Estoy teniendo problemas para hacerlo cuando un cable es una salida de un módulo y una entrada de otro. Por ejemplo,...
1
respuesta

¿Cómo agrego un nodo a un punto en un circuito en Quartus Prime?

Me gustaría poder ver no solo la salida de mi circuito en la simulación, sino también un nodo interior. Puedes verlo en el siguiente diagrama. Nombré la conexión "D2". ¿Tengo que conectar ese cable a una salida para ver su valor en la s...
0
respuestas

simulación de nivel de puerta de VHDL usando quartus prime lite edition, error

He diseñado un sumador y el banco de pruebas relacionado. He ejecutado la simulación RTL, y funciona como esperaba, sin embargo, no puedo ejecutar la simulación a nivel de puerta. adder.vhd library ieee; use ieee.std_logic_1164.all; entity...
1
respuesta

Quartus ii - Cómo configurar manualmente las coordenadas de bloques o elementos

Estoy intentando implementar TDC en Altera FPGA, así que necesito hacer una cadena de transporte con elementos de retardo colocados cuidadosamente. Estaba buscando y encontré muchos ejemplos para XLINX donde la colocación manual de elementos se...
1
respuesta

cómo se manejan los recursos para los mapas de bits (y objetos gráficos) en Quartus

en un proyecto que he realizado recientemente (Quartus, Cyclone 2, altera) quería producir tanto una salida gráfica VGA como una salida de sonido (a través de otra placa). He notado que una gran cantidad de celdas lógicas se colocaron en arreglo...
1
respuesta

¿Puedo conectar todos mis componentes en mi entidad de nivel superior?

He terminado de escribir un proyecto con archivos VHDL separados. La mayoría de los componentes tienen conexiones directamente con el FPGA (que he instanciado y conectado en el archivo de nivel superior), pero algunos no lo hacen. para conectar...
1
respuesta

El complemento / sumador complementario de Two no funciona correctamente

Hola soy de colombia lo siento mi ingles. Estoy desarrollando un proyecto simple, un sumador / restador complementario de dos para 4 bits. Me he desarrollado de la siguiente manera, pero en la simulación no me da el resultado esperado y no sé...
1
respuesta

Error (10327): Error de VHDL en clkdivider.vhd (27): no se puede determinar la definición de operador "" no "" - se encontraron 0 definiciones posibles

Todavía soy un principiante y sigo recibiendo este error, ¿alguien puede ayudar a los pls? library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.ALL; entity ClkDivider is port ( clk_in : in STD_LOGIC; reset : in STD_LOGI...