Preguntas con etiqueta 'quartus'

1
respuesta

Altera Quartus "Advertencia (18236): No se ha especificado el número de procesadores ...", ¿cómo suprimirlo?

Mis compilaciones de Altera Quartus muestran esta advertencia ... Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an...
1
respuesta

Bus para cablear en quartus

A veces me encuentro con un problema con el Quartus de altera al que me gustaría una mejor solución. A veces utilizo la interfaz gráfica para el diseño y tengo un bus que me gustaría sacar solo una ruta a un bloque. Por lo general, creo un bloqu...
1
respuesta

¿Beneficios de usar Altera IP en los diseños FPGA?

Acabo de comenzar a usar Quartus para sintetizar un diseño VHDL que creé hace un tiempo. Dentro de este diseño hay cosas como DFF, decodificadores, etc. Noté que Altera tiene su propia IP con la misma funcionalidad. ¿Hay algún beneficio sign...
1
respuesta

¿Qué es una máquina de estado segura?

Cuando implemento una máquina de estado "segura" en Quartus, ¿cuál es la diferencia entre una máquina de estado normal / insegura? Editar: Y esto es lo mismo que: case state is ... when others => nextState <= idle; end...
1
respuesta

Evite usar DSP en Quartus Prime

Me gusta implementar un módulo simple sin usar ningún DSP en el FPGA. En otras palabras, me gusta que todo el diseño se implemente utilizando la lógica. ¿Hay alguna opción en Quartus Prime que me permita desactivar la asignación de multiplicador...
2
respuestas

Quartus II - ¿Puedo incluir otros archivos en un archivo * .qsf?

Un proyecto de Altera Quartus II consta de uno * .qpf y uno o más archivos * .qsf. El qsf parece ser una secuencia de comandos TCL como otras configuraciones y archivos de configuración relacionados con EDA (por ejemplo, xdc, sdc, ...). ¿Es...
1
respuesta

¿Cómo hacer referencia a subconjuntos de lógica [31: 0] en SystemVerilog?

(Tengo dos preguntas para ti al final.) Estoy usando SystemVerilog para hacer varios ejercicios (para edificación personal) en el capítulo 7 de Diseño digital y arquitectura de computadora . Estoy usando Quartus II 13.1.2 Web Edition de Alte...
1
respuesta

¿Cómo restringir correctamente el reloj y el sincronizador generados en Altera Quartus?

En mi diseño Verilog tengo un reloj de tablero de 25Mhz del cual obtengo un reloj de 100Mhz. Procedente de un Pin externo, tengo un reloj asíncrono de 4.77 Mhz que debería controlar la lógica y sincronizarse antes (utilizando el reloj principal)...
1
respuesta

Quartus Prime: programa automáticamente el archivo .sof después de la compilación

Encontré un recurso en Ejecución automática de scripts así que sé cómo crear un script .tcl que se ejecuta cuando se completa la compilación. Me gustaría saber qué debe haber en ese script .tcl para programar automáticamente el archivo .sof ge...
0
respuestas

Error (209015): No se puede configurar el dispositivo. Se esperaba el código de identificación JTAG 0x020B10DD para el dispositivo 1, pero se encontró el código de identificación JTAG 0x000210DD

Compré en la placa de desarrollo ebera Altera Cyclone II EP2C5T144. Vino con USB Blaster. Estoy usando Quartus II 13.0sp1. El FPGA está programado con la configuración predeterminada como debería (los LED parpadean en el tablero). Parece que...