Preguntas con etiqueta 'quartus'

2
respuestas

contador de 8 bits de T Flip Flops

Estoy tratando de construir un contador de 8 bits en Verilog. Necesito específicamente crear un módulo que instalé 8 veces. He seguido el diagrama a continuación (y asumí que solo puedo desarrollarlo para hacerlo de 8 en lugar de 4 bits). Parece...
1
respuesta

Usando un archivo mif en Quartus

He creado un archivo mif en Quartus y estoy trabajando con el ciclón 2 Altera. Mi consulta es "¿Cómo puedo usar este archivo mif para inicializar una variable en mi arquitectura de diseño de nivel superior"? Déjame elaborar. Mi objetivo es produ...
1
respuesta

VHDL: UART TX simple no funciona

Como primer paso para aprender VHDL y usar FPGA, quiero implementar un transmisor UART simple que solo transmita una secuencia de bits constante según el protocolo UART con configuración 9600 8N1. Estoy usando una placa Altera Cyclone II EP2C...
1
respuesta

Quartus, Modelsim, VHDL - Visualización de señales internas

Esta pregunta es bastante específica, lo que dificulta su respuesta. Estoy usando el software Quartus Prime de Altera para hacer un diseño FPGA en VHDL. Quartus exporta a Modelsim para la simulación. Estoy escribiendo módulos VHDL individuale...
3
respuestas

¿puede el cuarteto sintetizar un bus de tres estados?

¿Se sintetizaría el siguiente Verilog (en el Quartus de Altera) en un bus de 1024 dispositivos triestatales conectados a un cable? ¿Será más rápido (latencia de reloj) que un árbol binario de 1024 or-gates? ' module TriBus #(parameter...
1
respuesta

¿Por qué es continuar con un sumador que simplemente está encendido? [cerrado]

Comprendí que el comportamiento es correcto cuando hago un sumador simple: Pero,¿porquéelencendidoseiluminasoloporqueenciendolaoperación+paramisistemade4bits? enlace La spec que trato de seguir tiene todos los detalles pero puedo Realme...
1
respuesta

¿Cómo implementar los núcleos IP de Quartus utilizando ALMs?

Esta es una pregunta de seguimiento en this , donde Le pregunté acerca de cómo se pueden implementar las multiplicaciones sin usar ningún DSP del FPGA. Ahora, me gustaría saber si se pueden implementar núcleos IP de Quartus como el multiplic...
1
respuesta

¿Por qué obtengo mi resultado como una cadena de Zs en Quartus?

Soy nuevo en Quartus y he estado intentando probar mi ALU de 32 bits en Quartus 13.1. Cuando intento la simulación funcional, obtengo una cadena de Zs. Los resultados para los componentes individuales, como el FullAdder, muestran los resul...
1
respuesta

Implementando VHDL FSM en Quartus con "no se pudieron implementar registros para asignaciones en este borde del reloj"

Se supone que debo escribir código para un medidor de frecuencia simple. Lo que se supone que debe hacer es: cuando presiona el botón, debe medir la frecuencia de la señal de entrada basándose en la señal de reloj de 1Hz para que el resultado no...
1
respuesta

Vhdl error 10327 - no se puede determinar la definición de operador “” & “” - se encontraron 0 definiciones posibles

Estoy ajustando un código vhdl y obtengo el siguiente error:    Error (10327): Error VHDL en myfile.vhd (87): no se puede determinar   definición de operador "" & "" - se encontraron 0 definiciones posibles El código abreviado es: p...