Preguntas con etiqueta 'quartus'

0
respuestas

¿Cómo asignar un valor constante al bus en el editor de esquemas de Quartus II?

No importa lo que intente, Quartus solo envía mensajes de spam similares a estos: Error (12009): falta el origen del módulo "módulo [31]"     
2
respuestas

Frecuencia máxima de FPGA: factor limitante

Me gustaría saber cuál puede limitar en general la frecuencia de reloj máxima de un circuito implementado en FPGA. En el caso específico, estoy creando algunos filtros FIR utilizando Quartus y simulándolos en un FPGA de la familia Cyclone II....
2
respuestas

¿Cómo genero un diagrama de bloques esquemático de Verilog con Quartus Prime?

Las respuestas a esta pregunta dice que Altera Quartus generará diagramas de bloque a partir de archivos Verilog. Soy usuario de Quartus Prime Lite Edition. ¿Cómo genero diagramas de bloques?     
2
respuestas

FPGA SPI esclavo no funciona bien

Estoy intentando integrar un esclavo SPI en VHDL (opencores) enlace la idea es conectar un microcontrolador y un FPGA Estoy usando Quartus .. más información: microcontrolador diferente reloj 50 MHz, creo .. La frecuencia de...
1
respuesta

74193 deja de funcionar después de compilar en otra PC (QUARTUS)

Obtuve un proyecto de Quartus con un contador de mod 22 usando 74193 de un amigo. Funciona bien cuando ejecuto una simulación antes de una compilación en mi PC, pero después de compilarla en mi PC, deja de funcionar correctamente. ¿Es posible ve...
2
respuestas

Interfaz SRAM de 64Kx16 bits con Qsys

Tengo dos chips de memoria de 64Kx8 que me he conectado a un FPGA configurado usando Qsys como un solo bloque de 64Kx16. He utilizado un controlador genérico de tres estados como interfaz, con el ancho de la dirección y el ancho de datos estab...
1
respuesta

VHDL a RTL / esquema, no es lo que espero ver

Me estoy enseñando VHDL (utilizando Altera Quartus Prime Web Edition) para que podamos incorporar un CPLD en un diseño. Solo lo he estado haciendo unos pocos días, pero hasta ahora el VHDL en sí parece bastante sencillo. Aprendí cómo diseñar ent...
1
respuesta

Quartus II seleccionó una señal como un reloj en circuito combinacional

library ieee; use ieee.std_logic_1164.all; entity ALU is port( input1: in std_logic_vector(31 downto 0); input2: in std_logic_vector(31 downto 0); reset: in std_logic; --Asynchronous Reset operation: in std_logic_vector(3 downto...
1
respuesta

¿Es posible encontrar la ruta crítica en una parte específica del diseño?

He escrito la descripción RTL de un circuito en VHDL que es jerárquico y estoy usando Altera Quartus II; mi diseño cumple con el tiempo. Había establecido una restricción de frecuencia de reloj de 50MHz (período de 20 ns) usando create_clock por...
1
respuesta

Cómo leer valores de una ROM para controlar un monitor VGA

Primero, debo decir que todavía soy un principiante y que estoy aprendiendo VHDL, por lo que cualquier consejo es de mucha ayuda. Lo que estoy tratando de hacer es controlar una imagen en un monitor VGA con un FPGA (Cyclone II), usando un arc...