¿Es posible encontrar la ruta crítica en una parte específica del diseño?

1

He escrito la descripción RTL de un circuito en VHDL que es jerárquico y estoy usando Altera Quartus II; mi diseño cumple con el tiempo. Había establecido una restricción de frecuencia de reloj de 50MHz (período de 20 ns) usando create_clock por ahora.

Tengo una holgura de aproximadamente 10 ns en el diseño en la actualidad. El diseño contiene un Nios II conectado con un diseño personalizado que es más bien un diseño complejo con múltiples niveles de jerarquía. Siempre que quiera encontrar la ruta del caso más desfavorable dentro de mi diseño de custm a partir de un bloque determinado y, por lo tanto, incluir todas las cosas debajo (en jerarquía), ¿cómo lo haría en TimeQuest? ¿Es posible? En la actualidad, el cuello de botella en el momento parece ser el Nios II.

    
pregunta quantum231

1 respuesta

2

Incluso si puede encontrarla, es poco probable que sea información significativa: las herramientas dejan de optimizar el tiempo tan pronto como cumple con los requisitos (frecuencia de reloj). Si desea conocer la velocidad máxima de su diseño, o desea ver dónde debe comenzar a optimizar aún más para que funcione a velocidades de reloj más altas, debe sintetizar su componente de manera independiente, aumentando progresivamente la velocidad de reloj hasta que ya no cumpla con el tiempo. Luego puedes ver qué rutas causan que falle en el tiempo.

No coincidirá exactamente con el diseño combinado, ya que la ubicación de E / S y las opciones de ubicación disponibles no serán las mismas, pero generalmente la ruta crítica estará en la misma parte del diseño. A veces, después de integrar su componente en un diseño grande, la ruta crítica puede cambiar porque para algunos componentes solo quedan ubicaciones subóptimas; Principalmente he visto que esto suceda para el bloque ram. Pero no hay nada que pueda hacer en estos casos, aparte de observar el diseño combinado específico.

    
respondido por el nengel

Lea otras preguntas en las etiquetas