¿Puedo conectar todos mis componentes en mi entidad de nivel superior?

-1

He terminado de escribir un proyecto con archivos VHDL separados. La mayoría de los componentes tienen conexiones directamente con el FPGA (que he instanciado y conectado en el archivo de nivel superior), pero algunos no lo hacen. para conectar estos componentes "internos" de forma adecuada, ¿puedo crear una instancia de ellos en el archivo de nivel superior y conectarlos solo a las señales (como lo haría en un banco de pruebas) o tengo que crear una instancia de cada entidad donde sea necesario en diferentes archivos vhd de bajo nivel?

    
pregunta D.P

1 respuesta

1

Cualquier cosa que puedas poner en los otros archivos también puedes poner en el archivo de nivel superior.

Por lo tanto, no hay razón para que no pueda crear una instancia de los componentes / entidades que desee en el nivel superior.

Tenga en cuenta que el sintetizador recortará cualquier lógica que de alguna manera no se conecte a un puerto. Porque si no toca un puerto, entonces no hace nada en el mundo real. Tenga en cuenta que la conexión al puerto puede ser a través de señales intermedias, a través de otros componentes oa través de otra lógica. No importa, siempre que se conecte.

Ciertamente, puede conectar un componente instanciado solo a señales internas. Mientras esas señales vayan a otro lugar también.

    
respondido por el user4574

Lea otras preguntas en las etiquetas