Preguntas con etiqueta 'modelsim'

0
respuestas

Exportar la forma de onda de Modelsim como imagen desde la línea de comandos

¿Hay alguna forma de exportar las formas de onda de Modelsim / VCS como imágenes (png, jpeg o SVG)? Actualmente, el único método que conozco es encender el simulador, ejecutar la simulación y luego hacer una Pantalla de impresión o Archivo -...
2
respuestas

Invalidar valores de señal en simulación verilog

Utilizo el comando "forzar" en modelsim para forzar una señal interna a un valor específico (no entradas primarias). A veces, el valor que fuerza es el mismo que el valor original. ¿Hay algún comando que pueda cambiar el valor de la señal en...
1
respuesta

ModelSim: ¿Por qué no puedo ver los genéricos en la simulación?

Cuando comienzo la simulación, puedo ver las señales y los puertos en la ventana de objetos para lo que he seleccionado en la ventana Sim. Además de esto, puedo ver procesos para lo mismo en la ventana de procesos. Sin embargo, los genéricos no...
1
respuesta

¿Soporta la modulación de modelos la aritmética derecha en verilog?

Estoy usando ModelSim PE Student Edition, y estoy tratando de escribir un módulo que cambie la aritmética correcta. Después de buscar en línea y consultar un libro de texto Verilog, encontré que para cambiar la aritmética derecha puedo usar ">...
1
respuesta

TEXTIO: leer el final del archivo más allá en ModelSim Simulation

architecture Behavioral of INST_CACHE is subtype word is std_logic_vector(63 downto 0); type storage_array is array (0 to 2*16 - 1) of word; --type storage_array is array (0 to 2**10 - 1) of STD_LOGIC_VECTOR (DATA_WIDTH -...
1
respuesta

VHDL - Problema con la simulación de testbench - Modelsim PE Student 10.4

Soy muy nuevo en VHDL y tengo un problema con el tiempo de simulación en Modelsim PE Student Edition 10.4. Escribí algunos archivos para un modelo RTL como multiplexor, demultiplexador y registro. Para probar mi código, intenté implementar...
1
respuesta

Error de compilación en testbench con UVM (biblioteca mtiRnm)

Estoy tratando de compilar un banco de pruebas muy básico (que en realidad no hace nada) con Modelsim 10.3b. Sin embargo, me enfrento al error: Error: (vlog-19) Failed to access library 'mtiRnm' at "mtiRnm". Lo que estoy tratando de co...
1
respuesta

Exportar modelosim formas de onda como imagen para imprimir

Quiero exportar las formas de onda de Modelsim de mi diseño simulado en un formato en el que puedan verse decentes cuando se impriman. Para ser más específicos, sin el fondo negro, preferiblemente en un formato vectorial. No es algo como esto...
1
respuesta

La lectura del cursor (forma de onda) no es lo mismo con la ventana de transcripción

He ejecutado una simulación de un banco de pruebas de código Verilog. Lo ejecuté en ModelSim, pero ¿por qué la lectura que obtuve con solo usar el cursor en la forma de onda es diferente a la de la ventana de transcripción? Mientras está en e...
1
respuesta

Estimación del consumo de energía en el compilador de cadencia RTL con archivo VCD

Necesito analizar el consumo de energía usando el compilador RTL basado en el archivo VCD generado por ModelSim. Tengo dos archivos: gcm.v (Este es el circuito principal. El nombre del módulo es "gcm") tb.v (Este es el banco de pruebas. El...