Preguntas con etiqueta 'modelsim'

1
respuesta

La simulación de Modelsim no funciona Por favor ayuda

Por mi vida no puedo entender por qué no obtengo una salida de este banco de pruebas y la entidad que he creado. Lo he intentado de varias maneras diferentes con la SALIDA y nunca lo he hecho. Sé que esta es una pregunta de noob, pero soy un noo...
1
respuesta

¿Es posible mostrar números de punto fijo como base 10 en la onda modelim?

Si una persona está creando un sistema utilizando números de punto fijo, se implica un punto decimal. En este caso, si uno va a utilizar la ventana de onda para ver el resultado, será beneficioso ver el valor real del número de punto fijo en la...
1
respuesta

¿Cómo modelar dispositivos externos a FPGA en un banco de pruebas?

FPGA podría conectarse a muchos dispositivos como dispositivos de memoria (SRAM, SDRAM, DDR RAM), convertidores de datos y varios otros circuitos integrados complejos. ¿Es una práctica normal modelarlos en un banco de pruebas para que la verific...
1
respuesta

Modelsim: Forzar cadena

Mi VHDL-testbench carga un archivo de texto. Quiero poder establecer el nombre de archivo desde el exterior. Como uso ModelSim (edición inicial de Altera) para simular mi diseño, debería ser posible establecer la cadena que contiene el nombre de...
1
respuesta

Ayuda con $ readmemb

Tengo tres variables, x, y, z que actúan como una entrada para mi modelo SV. Cada una de las entradas es de datos binarios de 16 bits de longitud y tengo 500 valores de ellos. Necesito proporcionarlos como entrada para mi módulo en cada posición...
1
respuesta

infracción del tiempo de espera durante la simulación de rutas y lugares de FPGA en modelosim

Estoy diseñando un circuito de encriptación simple en Xilinx Virtex-5 FPGA. He dado la restricción de tiempo en la UCF de la siguiente manera: NET "clk" TNM_NET = clk; TIMESPEC TS_clk = PERIOD "clk" 25 ns HIGH 50%; Mi diseño no tiene ningún...
1
respuesta

VHDL: leer números enteros de un archivo de texto, almacenarlos en una matriz y volver a escribir en formato de texto

En un determinado banco de pruebas de simulación que usa questasim, estoy tratando de leer los archivos con números enteros que parecen, 0000 0001 0005 3864 2290 1234 . . . 0002 0004 0006 4532 3457 . . . Mi objetivo aquí es leer el archivo...
2
respuestas

La simulación de Altera-Modelsim no se inicia cuando agrego una instancia de módulo en mi módulo de banco de pruebas principal

Editar: es algo con el módulo simulate_camera_output que no le gusta a Modelsim. Probado con un módulo de prueba simple y funciona bien. Buscando una manera de obtener un registro de compilación de Modelsim. 'timescale 1ps / 1ps mo...
1
respuesta

¿Hay alguna forma de suprimir la salida al compilar varios archivos vhd excepto por errores?

Tengo un script de compilación que ejecuto antes de simular en QuestaSim 10.7: vcom -vhdl -2008 my_lib -check_synthesis src/mux.vhd vcom -vhdl -2008 my_lib -check_snythesis src/clockdivdeby2.vhd ... Recibo una salida en el terminal de la si...
1
respuesta

La biblioteca de trabajo está vacía después de compilar el archivo fuente Verilog en Modelsim [cerrado]

¿Cómo puedo resolver este problema: mi biblioteca de trabajo siempre está vacía después de compilar un archivo seleccionado en Modelsim?