Preguntas con etiqueta 'modelsim'

1
respuesta

¿Cómo depuro señales rojas en ModelSIM?

Tengo que diseñar una máquina de estados utilizando solo puertas NAND para la parte combinatoria y flip flops D para la lógica secuencial. Todo debería funcionar a un reloj de 1ghz / 53. Ahora, antes de atacarme con "no haremos tu tarea por t...
1
respuesta

¿Por qué este patrón VHDL simple para un registro de desplazamiento no funciona como se espera?

A primera vista, esperaría que el código fuente de VHDL a continuación se comporte como un registro de desplazamiento. En eso q, con el tiempo estaría "UUUU0", "UUU00", "UU000", "U0000", "00000", .... pero en su lugar, siempre es U d...
1
respuesta

La simulación de un núcleo IP en Modelsim se retrasa un ciclo de reloj

Compilé la biblioteca del núcleo de IP en Modelsim. La biblioteca de Xilinx está instalada en mi computadora y también creé una ROM usando las herramientas de Xilinx. Sin embargo, cuando simulo el núcleo de IP, encuentro que buscar datos de la R...
1
respuesta

¿Cómo guardo una forma de onda de ModelSim para su posterior apertura / visualización?

Si guardo la forma de onda, se guarda como un archivo .do en ModelSim / QuestaSim. Esto no ayuda, ya que más tarde tendré que ejecutar la simulación para recuperar la ola de todos modos. Lo que estoy buscando es un medio por el cual toda la o...
4
respuestas

Cómo acelerar la simulación de Modelsim

¿Cómo puedo hacer que Modelsim se ejecute más rápido para la simulación en lugar de ¿Algo en el rango de picosegundos (intervalo de tiempo)? Hay algun otro ¿Métodos para acelerar la simulación? Se tarda 45 minutos en llegar a 1 ms como de ahora...
1
respuesta

La simulación de VHDL muestra 'X' para la entrada

Soy nuevo en VHDL y estoy tratando de simular un multiplicador de matrices (he usado Verilog antes). Sin embargo, en los resultados de la simulación muestra 'X' para las entradas que solían ser '1'. Aquí está el resultado: YesteeselTestbench:LI...
2
respuestas

¿Qué significa delta en ModelSIM?

Ejecuté una simulación para un circuito lógico combinacional con 8 entradas, 4 salidas dentro de MODELSIM. Cuando veo la forma de onda simulada, todo se ve bien, sin embargo, cuando exporto el resultado a un archivo de lista, hay algunos valores...
2
respuestas

Simulación de RAM grande

Quiero probar un núcleo de video IP que lee un bloque de memoria y lo escribe de nuevo. El núcleo de IP está utilizando el VFBC. Mi idea para las pruebas fue escribir un núcleo que se pareciera al VFBC, pero que solo use una memoria RAM de 32Meg...
1
respuesta

Simulando el modelo IBIS en modelSim

Estoy desarrollando una lógica en un FPGA que actuará como un controlador para un chip de TI. Obtuve el modelo IBIS de chip TI de la página web de TI. Mi controlador está listo y quiero simularlo usando ModelSim. Pero debo tener la simulación...
2
respuestas

Abre una imagen y lee sus valores de píxeles

Quiero calcular el histograma de una imagen en modo de escala de grises He diseñado una memoria y un valor de calculadora de histograma, ahora quiero obtener valores de píxeles y ponerlos en la calculadora como entrada Tengo una imagen (po...