Estoy tratando de simular un módulo verilog que usa el módulo "lpm_add_sub" para proporcionar un sumador con un arrastre por separado (por alguna razón, Quartus II no reconoce ese a+b+c donde c es un solo bit se puede implementar e...
Espero hacer un trabajo de desarrollo en FPGA de Altera que probablemente sea más grande de lo que es compatible con la edición gratuita de ModelSim. Tengo una copia antigua de la versión completa (versión 6.5, creo). ¿Es probable que funcione p...
El paquete contiene las siguientes líneas:
entrada de archivo: TEXTO está en "STD_INPUT";
SALIDA de archivo: el TEXTO sale "STD_OUTPUT";
Por alguna razón, estos me recuerdan los flujos de entrada y salida estándar de mis lecciones en lengu...
Escribí un contador simple en VHDL para un contador de programa. Todo se hace en un proceso, pero lo que no entiendo es que en la simulación, la adición del contador del programa solo se realiza en el siguiente evento de reloj, en lugar de hacer...
Estoy haciendo la verificación del componente VHDL usando OVM y encontré problemas serios. He encontrado que el problema está en un componente específico y un entorno creado específicamente para él. Es un convertidor de interfaz de RGMII a inter...
He instalado ModelSim 10.4 X64, cuando quiero abrir un archivo vhd en el editor, se muestra un error en la ventana "Transcripción" como:
"no se pudo encontrar el intérprete" ScintillaTk ""
Hice una búsqueda al respecto y descubrí que este pro...
Estaba intentando diseñar un TFF en VHDL.
Escribí el siguiente código
library ieee;
use ieee.std_logic_1164.all;
entity TFF is
port(
T: in std_logic;
clk: in std_logic;
Q, Qn: out std_logic
);
end entity;
architecture behavio...
¿Es posible tener múltiples ventanas Wave abiertas en ModelSim simultáneamente?
Sé que es posible agregar varios "Paneles de ventana" en una sola ventana de Wave, pero es tan defectuoso y poco flexible que es apenas útil o productivo (o inclu...
¿Existe un tipo nativo en el lenguaje VHDL similar a std_logic_vector que permite crear un número de punto fijo con o sin signo para una longitud dada de partes fraccionarias y completas? Si es así, ¿se puede sintetizar?
¿Cómo se ven cantidad...
¿Cuál es la diferencia entre X grande y X pequeño cuando recibimos señales rojas (desconocidas) en QuestaSim? Asumiría que también es lo mismo en la simulación de ModelSim.