Preguntas con etiqueta 'modelsim'

1
respuesta

Pregunta sobre cómo forzar las señales verilog netlist en la simulación

Estoy usando ModelSim para simular un diseño con verilog netlist y verilog RTL. Mi jerarquía de diseño de verilog es así: tb crea una instancia de inv_dual_tb ; inv_dual_tb crea una instancia de GF_INV_8_tb ; Ha...
1
respuesta

¿Cómo puedo saber si la biblioteca ya se ha compilado en ModelSim / QuestaSim para acelerar los scripts de simulación?

¿Cómo puedo saber si la biblioteca ya se ha compilado en ModelSim / QuestaSim para acelerar los scripts de simulación? Tengo algunos archivos que contienen varios comandos vlog para compilar varias bibliotecas y un comando vsim para iniciar l...
2
respuestas

Apertura y lectura de valores de píxeles de imágenes de mapa de bits en Modelsim

¿Cómo abro una imagen de mapa de bits, leo los datos de píxeles (24 bits) y los guardo en una memoria que creé en un módulo Verilog en ModelSim? ¿Cómo abro la imagen de abajo en Modelsim? ¿Es posible en primer lugar? En caso afirmativo, ¿c...
2
respuestas

¿Cuál es el equivalente de compilar de modelsim en quartus prime?

En ModelSim, puedo hacer clic en compilar y ModelSim lo compilará rápidamente, alrededor de 1 o 2 segundos. Pero en Quartus Prime, necesito ejecutar Analysis & Elaboración o Análisis & Síntesis que se ejecuta durante más de 40 segundo...
1
respuesta

La simulación de Modelsim 10.3d no se detendrá

Estoy usando este script para ejecutar la simulación #vlib work view structure vsim -t 1ps -voptargs=+acc -L work BiPhase_tb do BiPhase_wave.do run -all Por lo que veo, el tiempo de simulación es de 1 picosegundo, pero la simulación no se d...
1
respuesta

Copiar Edición: / waveform to Sim: / waveform en Modelsim

He cargado mis formas de onda de una simulación previa yendo a Archivo - > Carga - > Archivo de macros - > "wave.do". Esto hace que las formas de onda se muestren junto a las formas de onda de simulación en blanco (ver más abajo). Me gu...
1
respuesta

¿Qué es el archivo de salida de Verilog (.vo)? Cuando se crea?

Estaba ejecutando la simulación de diseño de referencia de PCI Express en Modelsim. La compilación falló y se mostró un error "no se puede abrir el archivo top_core.vo en modo de lectura". Revisé la carpeta correspondiente, pero faltaba ese arch...
1
respuesta

¿Hay una tecla de método abreviado en ModelSim para que parte del código que escribí se convierta en un comentario?

¿Existe una tecla de método abreviado en ModelSim para que parte del código que escribí se convierta en un comentario? Al igual que aquí, usamos Ctrl + k . Me pregunto si también hay en ModelSim.     
1
respuesta

Análisis de poder de encuentro de cadencia

He escrito un código verilog para un circuito (test.v) y un banco de pruebas (testd_tb.v). Yo uso estos comandos para generar la energía usando el compilador RTL de encuentro de cadencia. He hecho 3 carpetas. Trabajo, RTL (donde se almacenan tod...
1
respuesta

Escribir archivos por lotes para simulación en Modelsim / QuestaSim

Estoy intentando compilar un diseño que consta de varios archivos. Hay archivos de biblioteca con los primitivos, que archivos de lista de red para el diseño, archivos de vectores de prueba utilizados con el banco de pruebas y el archivo del ban...