Estoy tratando de compilar un banco de pruebas muy básico (que en realidad no hace nada) con Modelsim 10.3b. Sin embargo, me enfrento al error: Error: (vlog-19) Failed to access library 'mtiRnm' at "mtiRnm".
Lo que estoy tratando de compilar es básicamente un paquete similar a:
'ifndef __MY_PKG
'define __MY_PKG
package my_pkt;
import uvm_pkg::*;
'include "uvm_macros.svh"
endpackage : my_pkg
'endif
El error parece estar relacionado con UVM porque, al comentar las dos líneas relacionadas con uvm, el error desaparece. Sin embargo, Modelsim puede localizar UVM debido a que la línea include
genera la advertencia Note: (vlog-2286) Using implicit +incdir+/tools/MGS/modelsim_SE10.3b/modeltech/uvm-1.1d/../verilog_src/uvm-1.1d/src from import uvm_pkg
, por lo que algo está sucediendo.
¿Cuál puede ser la causa del problema?
Gracias de antemano.