Preguntas con etiqueta 'xilinx'

1
respuesta

Error de memoria ROM de ISE de Xilinx

Para mi proyecto, he definido una ROM de un solo puerto, utilizando el generador de memoria de bloques de las herramientas ISE. Aparece como parte de mi proyecto, pero tengo un mensaje de error implementando mi módulo superior. lo que dice: ER...
2
respuestas

sobre Synplify VHDL (código importado de Xilinx ISE)

Buen día, Necesito ayuda Empieza a trabajar con Synopsys Synplify. Importe mi proyecto Xilinx ISE (funciona completamente). Intente ejecutar y recibir: "No hay sobrecarga coincidente para to_integer" para esta línea rgb(7 downto 0) <=...
1
respuesta

Generador del sistema: un bloque para cambiar el signo de un punto flotante

Estoy trabajando con números de punto flotante en System Generator. Necesito realizar esta operación aritmética y = x * (- 1) . Creo que podría hacerse usando el bloque mult, pero no me gusta de esta manera porque es muy costoso en términos de...
1
respuesta

Virtex 4 fx 12 ff668

¿Podría alguien explicarme cómo puedo usar DCM de Virtex 4 fpga para dividir el reloj-50MHz del reloj del sistema-100MHz?     
1
respuesta

¿Es posible inferir un multiplicador de pt flotante en la codificación hdl sin crear una instancia de la IP?

Mis detalles de arquitectura Implementación de FPGA Formato pt flotante (IEEE 754) Al menos 17 a 18 operaciones aritméticas (sumadores y multiplicadores) involucradas. Actualmente, crea una instancia de las IP de punto flotante...
1
respuesta

Xilinx Vivado: ¿Cómo se manejan las entradas / salidas que no están en el archivo de restricciones?

Supongamos que tengo el siguiente archivo de restricciones que especifica solo una entrada: set_property -dict { PACKAGE_PIN J15 IOSTANDARD LVCMOS33 } [get_ports { Switch }]; Y el siguiente archivo superior que también enumera input A...
1
respuesta

error iMPACT: el lector Bsdl no está disponible para el dispositivo. desconocido_0_8.bsd

Tengo un kit embebido SP60x (Spartan 6). Me dieron el tablero en el trabajo y han perdido casi todo, así que no estoy seguro de cuál es la versión exacta. He descargado el ISE Design Suite para Windows 10 - 14.7 soft. He lanzado el softw...
1
respuesta

Convertir un bit a un archivo bin Xilinx

¿Cómo puedo convertir un .bit (salida de ISE Project Navigator) en un archivo .bin? Por lo que entiendo, los archivos .bit son similares a .bin pero con un encabezado que especifica cosas como la placa y esas cosas.     
0
respuestas

Archivo de restricciones para periféricos

Estoy tratando de conectar un sensor de movimiento PIR a mi placa FPEG Elbert V2 Spartan 3A y luego tengo que activar un LED cuando se activa el PIR. El PIR está conectado a GPIO 1. Aunque el código se compila (lo descarté para la depuración), m...
0
respuestas

vhdl testbench tipo de datos confusión

Estoy tratando de simular el XADC en vivado Tengo mi código de banco de pruebas aquí library IEEE; use ieee.numeric_std.all; use IEEE.STD_LOGIC_1164.ALL; use std.textio.all; entity test_design_1 is end test_design_1; architecture TB of...