Supongamos que tengo el siguiente archivo de restricciones que especifica solo una entrada:
set_property -dict { PACKAGE_PIN J15 IOSTANDARD LVCMOS33 } [get_ports { Switch }];
Y el siguiente archivo superior que también enumera input A
y output B
en su especificación port
:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity test11 is
port (
Switch : in std_logic;
A : in std_logic;
B : out std_logic
);
end test11;
architecture Behavioral of test11 is
begin
B <= A xor Switch;
end Behavioral;
Esto compila muy bien.
¿Están asignados A
y B
a algunos pines aleatorios? ¿Están vinculados a '0'
o '1'
?