Aprendí Java el año pasado y comencé a aprender VHDL y la implementación en BASYS3 este año. Solo trato de mostrar los números en el segmento siete comenzando desde 0 y cada vez que se presiona un botón, el número aumentará. Estoy familiarizado...
Estoy codificando en vhdl y quiero tener todos mis códigos en un solo archivo. Aquí está el código que tengo en un archivo (sumador completo y medio sumador):
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use work.Single_Bit_Half_Adder;
entity...
Estoy intentando depurar mi proyecto VHDL en Vivado 2014.03 en un KC705 .
Mi proyecto consta de varios módulos VHDL implementados como núcleos IP personalizados, que están conectados en un diseño de bloque.
Seleccioné mark debug en...
Tengo que compilar una tabla lógica de 9 valores para un circuito.
Me gustaría saber qué sucede con la salida de un inversor y de una puerta de transistor de paso si se maneja en alta impedancia.
Específicamente en este circuito:
a1 = Z
a2 =...
Un bloque debayer ingresa una imagen de un filtro CCD Bayer y luego estima la información de color faltante para cada píxel. Los datos provienen de una cámara. ¿Cómo debería uno escribir un banco de pruebas para algo como esto?
Estoy trabajando con el FFT v9.0 core de Xilinx.
La FFT está configurada para usar la arquitectura de E / S de ráfaga Radix-4.
Cuando llego al último elemento de mi señal, configuré s_axis_data_tlast a 1 (mientras transmitía el úl...
Toma este ejemplo:
port(clk : in std_logic;
areset_n : in std_logic;
ena : in std_logic;
load : in std_logic;
load_val : in unsigned(cnt_width-1 downto 0) := (others => '0');
counter_va...
El problema es encontrar un módulo cuando un número de tres dígitos se divide por sus dos últimos dígitos. Pero el número de tres dígitos se recibe en forma binaria. Así que primero quiero cambiar la forma binaria a forma de entero y luego usar...
Desde el punto de vista de la implementación, ¿cuánto tiempo tomará la generación de números pseudoaleatorios por el Registro de Cambio de Retroalimentación Lineal (LFSR) cuando se implemente en, por ejemplo, el Procesador de Señal Digital? Se s...
Tengo una pregunta simple sobre la lista de sensibilidad. Lo leí en algunos libros. Dijo que la lista de sensibilidad solo es importante para la simulación. No entiendo muy bien Eso significa que, si elimino la lista de sensibilidad, no importar...