Por lo que yo sé, en un FIFO, mientras seguimos leyendo, eventualmente se quedará vacío, es decir, no habrá más datos dentro y su salida se convertirá en 0x0. Si continuamos leyéndolo después de que esté vacío, causaremos un "subdesbordamiento"....
Estoy intentando implementar un filtro IIR como:
y(n) = 2*y(n-1)-y(n-2)+x(n)-2*x(n-6)+x(n-12);
Mi código vhdl es:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_SIGNED.ALL;
use IEEE.NUMERIC_ST...
Estoy escribiendo un código VHDL para implementar un cifrado en FPGA.
Estoy pasando un valor hexdecimal a una señal como entrada
input : in STD_LOGIC_VECTOR (63 downto 0);
Obtengo el valor en la entrada, pero además quiero usar solo lo...
¿Por qué no cambia el valor de la salida? ¿Se ha cronometrado y el bucle interno debería actualizarse? En la simulación, el valor de la salida siempre es 9. Por favor, ayúdenme a faltar. Me gustaría que el código actualice la salida cuando se ac...
¿Cómo puedo usar vhdl para diseñar un detector de secuencia para encontrar una secuencia de 32 bits con 15 ceros seguidos de 17 con 2 contadores para contar los unos y ceros que tienen señales de habilitación y restablecimiento?
¿Alguien puede d...
Estoy intentando escribir un registro de desplazamiento de 1 bit con este código
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity simple_one_bit_serial_shift_register is
port(...
A continuación se muestra el código para la implementación de mi unidad de sucursal. Esta unidad calcula la dirección de destino del salto y la escribe en el registro de la PC.
Hay algunos tipos diferentes de saltos, etc., historia estándar....
Tengo algunas preguntas casi sin correlación, por lo que las voy a endurecer, espero que puedan ayudarme:
1) Estoy estudiando Diseño RTL, y la pregunta es a nivel de la ruta de datos, unidad aritmética ecc. No entiendo por qué y cómo se puede...
Digamos que tengo una señal de conteo en un archivo VHDL de contador y quiero mostrar esto en mi salida de simulación, ¿qué tendría que hacer con mi banco de pruebas para generar dichos datos?
Estoy tratando de verificar un bloque que creé usando Modelsim pero tengo un pequeño problema con la generación del reloj. Me gustaría que el banco de pruebas produjera un reloj de 5 ns (200 MHz) con el siguiente código ...
Período:
CONSTAN...