Soy un estudiante de ingeniería de último año. Estoy muy interesado en aprender la síntesis de VHDL y FPGA. Creo que elegir un proyecto de último año con síntesis de VHDL me ayudará mucho para aprender más sobre él. Desafortunadamente, el FPGA d...
Tengo un diseño VHDL bastante simple que se parece a lo siguiente:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity myCode is
port (
input_clock : in std_logic;
do_increment : in std_logic;...
Soy un EE mayormente analógico que está tratando de configurar un kit de desarrollo de fpga (terasic de0-nano) para girar los bits de control en algunas partes de control de pulso para las que estoy haciendo una placa de evaluación. Estoy usando...
Señor,
Cada vez que simulo mi código vhdl de 4 bits en Xilinx vivado 2015.2, aparece el siguiente mensaje de error.
ERROR: [VRFC 10-724] encontró '0' definiciones de operador "+", no se puede determinar la definición coincidente sobreca...
Estoy creando un FSM en VHDL para implementar un módulo de transmisión en serie. Sin embargo, cada vez que lo sintetizo, arroja un montón del mismo error sobre la búsqueda de un ciclo de tiempo.
[Synth 8-295] found timing loop.
Sin embargo...
¿Qué es el código VHDL equivalente de estas líneas verilog:
dfslckd_q <= #TCQ DFSLCKD;
dfslckd_rising <=#TCQ !dfslckd_q & DFSLCKD;
Todas las señales son de bit (TCQ tiene esta declaración: parameter TCQ = 1; )
y el código...
-- logic taken from https://en.wikipedia.org/wiki/Division_algorithm
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;
ENTITY Div IS
PORT(
OperandA : in std_logic_vector(3 downto 0);
OperandB : in std_logic_v...
Sin experiencia en VHDL, estoy intentando convertir un código C ++ para conducir un CCD a un código basado en VHDL. Es muy probable que esta no sea la mejor manera de implementarla, pero es intuitiva para mí. Entonces, mi contador cuenta los cic...
Tengo un problema con un módulo que uso para la rotación de un vector. Tengo dos operaciones, una usa 2 módulos rotLeft y la otra usa 2 rotRights. Originalmente, había ocupado problemas de overmapping de Slices, lo que me llevó a combinar los mó...
Me parece que el uso de máquinas de estado es solo en circuitos lógicos, ¿es correcto? Si no, ¿tienen otros usos, como por ejemplo en la programación de microcontroladores? Soy bastante nuevo en el tema y me pregunto si hay programas para crear...