¿Por qué este código:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.ALL;
use ieee.std_logic_arith.all;
entity memorie8x4 is
port(
cs: in std_logic; -- cs = 1 => chip selected
rw:...
Me gustaría un proceso para escuchar los cambios en una señal, pero no antes de las 20 ns. ¿Cómo puedo lograr eso?
No parece posible usar sentencias de espera en un proceso de este tipo, lo cual tiene sentido ya que tiene una lista de sensibili...
Sé VHDL y entiendo la sintaxis, pero nunca antes programé un FPGA. Pronto escribiré mi primer código VHDL y luego subiré mi código a Xilinx FPGA. Al escribir código VHDL tenemos entidad. En los ejemplos que vi, las señales en el archivo UCF siem...
Necesito entender el concepto de bus bidireccional. Lo que quiero hacer es conectar una memoria con puertos din y dout a un puerto de inout llamado datos. Así que junto con la memoria utilizo un búfer de tres estados como componente. Un búfer de...
Quiero hacer un modelo FSM de FIR, para eso necesito escribir la línea del código de cálculo FIR en la implementación de FSM.
Aquí está el código real y correcto para FIR
entity fir_4tap is
port( Clk : in std_logic; --clock signal...
Estoy trabajando en un proyecto VHDL en el que estoy tratando de hacer un controlador LCD.
He estado tratando de obtener el período de mis relojes escalados utilizando Signal Tap, sin embargo, la barra de tiempo no muestra la hora con precisi...
Estoy intentando escribir una máquina de estado en VHDL que escaneará un teclado 4x4. Quiero que keyP esté en 0 al inicio y después de un reinicio. También quiero que la columna se establezca en "1111" al inicio y después de un reinicio.
Como...
Estoy tratando de escribir algún vhdl que detecte un patrón dado en una cadena de bits. El circuito debe generar 1 cuando encuentra el patrón "110" en el flujo de entrada. Mi entrada es "X" y mi salida es "Z".
Por alguna razón, cuando simulo...
Necesito mantener presionada la tecla 1 y luego presionar la tecla 2 para aumentar el valor.
Cómo leer las teclas solo si se presionan ambas teclas. Esto es lo que se tiene que no funciona correctamente. (lenguajes de programación vhdl).
si l...