¿Por qué no cambia el valor de la salida? ¿Se ha cronometrado y el bucle interno debería actualizarse? En la simulación, el valor de la salida siempre es 9. Por favor, ayúdenme a faltar. Me gustaría que el código actualice la salida cuando se actualice el bucle interno.
library ieee;
use ieee.std_logic_1164.ALL;
use ieee.numeric_std.ALL;
entity test is
port(
clk : in std_logic;
output : out integer
);
end entity;
architecture behave of test is
begin
process(clk)
begin
if (clk'event and clk = '1') then
for x in 0 to 9 loop
for y in 0 to 9 loop
output <= y;
end loop;
end loop;
end if;
end process;
end behave;
Me gustaría usar valores de bucle interno y externo. Podría ser usado como contador para mi sistema.
La forma de onda deseada sería así.
Me gustaría usar el valor de y yx si es posible /