Creé un multiplexor simple que alimenta diferentes entradas a la salida dependiendo de la máquina de estadísticas.
Ahora hay estados en los que no necesito el resultado, por lo que normalmente lo configuro en 0.
INST <= "01" WHEN fsm_state...
Ok, estoy tratando de crear una instanciación de Bloqueo de RAM en un verdadero tipo de puerto dual. He utilizado el catálogo de IP y el generador de memoria de bloque en Vivado, que me ha dado un archivo gigante que ahora necesito para desglosa...
Como dice el título, me gustaría saber si el comportamiento de una división cero en ieee.numeric_std está definido de alguna manera. Si uno lo hace
signal a, b : unsigned (width1_g-1 downto 0);
signal c : unsigned (width2_g-1 downto...
Estoy tratando de entender cuál es la forma correcta de realizar dicha aplicación, así que no solicite el código completo porque cada componente funciona bien por sí solo. Estoy luchando para que trabajen juntos. Todavía no conozco el enfoque co...
Estoy intentando usar una declaración de generación para crear una versión personalizada de ancho de bits de mi circuito. Sin embargo, necesito incrementar N en 2 en lugar de 1. ¿Hay alguna forma de hacer esto?
...
adders: for N in 1 to bits-1...
Buenos días,
Soy un programador de scripts (PHP) y realizo muchos desarrollos backend con servidores web. Estoy muy interesado en aprender VHDL, pero los tutoriales que he probado parecen muy anticuados y difíciles de seguir sin alguna experi...
Me di cuenta de un algoritmo AES-256 que cifra un std_logic_vector de 128 bits (plain_text) con un std_logic_vector de 256 bits (master_key). Hice un banco de pruebas para verificar el comportamiento del proceso de encriptación, y ahora me gusta...
Aquí están mis archivos topmodule y testbench. ¿Cuál es mi error?
library IEEE;
use IEEE.NUMERIC_STD.ALL;
entity celcius_to_fah is
Port ( c : in unsigned (6 downto 0);
f : out unsigned (8 downto 0));
constant coef: unsigned(7 d...
Estoy usando Vivado (2017.4) y he estado tratando de experimentar con el asistente de Clocking IP. Entiendo cómo crear una nueva IP, pero no estoy seguro de qué hacer con el archivo HDL que genera. He visto muchos tutoriales y todos parecen tene...
Desde el punto de vista de un sintetizador, ¿hay alguna diferencia entre:
Desplazamiento de señal: std_logic_vector (3 downto 0):="0100";
Compensación constante: std_logic_vector (3 downto 0):="0100";