He restablecido el sincronizador en mi bloque superior (archivo adjunto).
En mi proyecto tengo bloques que obtienen 125_clk, y otros 250_clk.
Además, tengo el bloque srstn_sm, cuya salida se ingresa para la entrada srstn de muchos bloques (archi...
He implementado una computadora con un archivo de memoria.
El archivo de memoria es de 64 vectores con 16 bits cada uno.
He escrito un módulo que lee de un archivo y mi memoria está en otro módulo. ¿Cómo puedo colocar los valores en la memoria...
Tuve un problema en la simulación con mi señal de salida que se considera mi contador.
Aquí está mi código en vhdl:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity Counter is
port (Clock, Reset : in s...
Ahora tengo un problema con la importación de VHDL generado por Matlab a FPGA. Todos los filtros que generé, me dan la misma imagen en SpectrumAnalyzer: buen ruido blanco.
Supongo que configuré los parámetros de cuantificación incorrectos o los...
Estoy tratando de desarrollar un enlace de datos en FPGA. El transmisor combina entrada de 8 canales a salida única. El Rx debe multiplexar la salida paralela de un solo canal a 8 bits.
Actualmente el sistema es fuente síncrono. En el lado Rx, n...
Estoy haciendo JKnRnS maestro esclavo flip-flop, aquí está mi código:
library IEEE;
use IEEE.std_logic_1164.all;
entity JKnRnS is
port(
C : in STD_LOGIC;
J : in STD_LOGIC;
K : in STD_LOGIC;
nR : in STD_LOGIC;...
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_SIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
entity FIR is
port(
CLK2: in std_logic;
Sendin : in std_logic;
Sendout: o...
Así que obtuve 8 errores al compilar este sumador de 4 bits Carry-lookahead. Obtuve el error anterior para los puertos cg y cp en las funciones de macro ADD0-ADD3. Para mi código verifique los adjuntos. Edición: Aquí he copiado el código en:
l...
Tengo una entidad uart que tiene las siguientes señales (solo escribo las relevantes - para tx)
-- The output data: 8 bit - this is the UART receiver
-- Data is only valid during the time the STB is high
-- Acknowledge the data with a pulse on...