Preguntas con etiqueta 'synthesis'

3
respuestas

¿En qué se diferencia el diseño ASIC del diseño FPGA? ¿Escribe HDL (Verilog, VHDL) para diseñar y ASIC de la misma manera que lo haría para un FPGA?

Desde mi entendimiento limitado, siento que uno podría simplemente tomar la salida de síntesis del código Verilog / VHDL y luego usar ese diseño para fabricar en silicona el mar de puertas.     
4
respuestas

Un pequeño cambio en el módulo Verilog produce un alto cambio en el consumo de energía (Synopsys Design Compiler)

Estoy comparando dos diseños de Verilog: Diseño (1): un módulo superior controlado por un reloj que funciona a 50MHz, que es el reloj principal del sistema. Diseño (2): el mismo módulo superior que en el Diseño (1) con una diferencia, e...
3
respuestas

¿Cómo entender el informe de tiempo después de la síntesis?

Después de la síntesis de mi código verilog. Estoy recibiendo el siguiente informe de tiempo. Creo que muestra algún error en mi código. Resumen de tiempos: Grado de velocidad: -2 Período mínimo: 2.334ns (Frecuencia máxima: 428.376MHz)...
1
respuesta

Mientras que el bucle en VHDL

Para verificar la capacidad de síntesis del bucle while, creé un código vhdl hipotético de la siguiente manera. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.all; entity test_loop is Port ( a : in INTEGER; i : in INT...
2
respuestas

VHDL: ¿Se supone que las sentencias if-else y case deben sintetizar el mismo hardware?

Las declaraciones if-else y case son equivalentes. Quizás sea más fácil leerlo más tarde cuando tenemos muchas posibilidades de ser verificadas. Se supone que un condicional infiere mux en hardware. Sin embargo, existe una diferencia entre te...
1
respuesta

error no estático en Precision RTL

Estoy escribiendo un código VHDL para un entero a flotador convertidor usando variables. Lo he simulado y los resultados coinciden con las expectativas. Sin embargo, cuando busco compilar y sintetizar utilizando Precision RTL de Mentor Graphics,...
1
respuesta

Categorías de potencia en diseño ASIC (compilador de diseño)

Actualmente estoy trabajando en la síntesis, con el compilador de diseño de Synopsys, de un módulo de cifrado AES. En los informes de energía hay tres categorías de energía especificadas: Energía de conmutación Poder interno Poder de fu...
1
respuesta

Haciendo que el componente combinacional sea sincrónico

Tengo una entidad combinacional que se implementa con lot de lógica combinacional. La síntesis (usando Xilinx ISE) indica:    Retardo máximo de la ruta combinacional: 62.367ns Cuando se coloca en un diseño síncrono (sincronizado), la fr...
1
respuesta

¿Cómo puedo evitar las infracciones de "Ancho de pulso mínimo" en la síntesis de Quartus FPGA?

Estoy sintetizando una aplicación de juguete en DE2, pero tengo un problema de tiempo (a pesar de que todas las entradas y salidas están sincronizadas en mi diseño). Estas violaciones están relacionadas con el "ancho de pulso mínimo" ... ¿Cóm...
1
respuesta

VHDL: optimizar comparaciones de señales para síntesis

Como prefacio, hay ciertos estilos de codificación utilizados en VHDL / Verilog que ayudan a las herramientas de síntesis a inferir hardware diferente (algunos mejor en rendimiento que el otro). Por ejemplo, usar una escalera if-else-if inferirí...