Preguntas con etiqueta 'hdl'

1
respuesta

¿Cómo se implementan los proyectos Verilog o VHDL como chips físicos?

En la vida real, ¿cómo implementaría un diseño de circuito utilizando Verilog y VHDL como chip físico? ¿Envío el código a un tercero para que fabrique el chip, como con los proveedores de impresión de PCB?     
1
respuesta

Agrupar señales de entrada y salida con el reloj correspondiente

En mi diseño Verilog, tengo dos relojes asíncronos, clk1 y clk2 . Asociado a cada reloj hay un montón de entradas y salidas. En este momento, mi compilador (Quartus II) no se queja cuando mezclo señales de E / S asociadas con...
1
respuesta

Simulando el modelo IBIS en modelSim

Estoy desarrollando una lógica en un FPGA que actuará como un controlador para un chip de TI. Obtuve el modelo IBIS de chip TI de la página web de TI. Mi controlador está listo y quiero simularlo usando ModelSim. Pero debo tener la simulación...
2
respuestas

Desarrollo de hardware en FPGA con chip de controlador de LED

Le pregunté a las TI TLC5944 preguntas relacionadas con el controlador de LED aquí , here y here En realidad inicialmente se suponía que simulaba el siguiente diseño. También tuve que simular la funcionalidad del controlador (ya que el...
1
respuesta

Conversión de código Bin-to-BCD de VHDL a Verilog

Hola chicos, estoy tratando de traducir el código VHDL a Verilog, sin embargo, no funciona aunque se vean bastante iguales. No obtengo errores, sin embargo, no funciona con Verilog one, pero funciona con VHDL one. ¿Pueden ayudarme, por favor, a...
1
respuesta

Dividir un reloj en Verilog, ¿está bien?

Dividir un reloj en Verilog es un ejercicio básico, y hay un montón de respuestas en línea sobre cómo hacerlo. Lo que quiero saber es si está bien usar un reloj que se ha dividido usando verilog en un FPGA real para hacer flip flops. Lo p...
1
respuesta

¿Cuál es la diferencia entre una expresión estática y una no estática en vhdl?

supongamos que si tengo dos declaraciones de señales de la siguiente manera signal x:std_logic_vector(1 downto 0) := (others => '0'); signal y:std_logic_vector(1 downto 0); ¿significa eso que x es estático e y no es estático? ¿También...
1
respuesta

¿Puedo implementar una radio FM en el kit Spartan 3E?

¿Puedo implementar una Radio FM en el kit Spartan 3E? Problemas iniciales que estoy enfrentando- ¿Cómo conecto la antena con el kit Spartan 3E? Implementando el convertidor A / D.
1
respuesta

¿Cómo usar el Buffer IO con una ubicación definida en VHDL?

Estoy intentando programar el sintetizador PLL ADF4158 con SPARTAN 6 FPGA utilizando Microboard LX9. Estudié VHDL por un semestre 4 años antes, y no uso práctico después de eso. Así que necesito la sugerencia de algunos expertos para actualizar...
1
respuesta

¿Cuál es la mejor manera de entender un gran núcleo HDL existente?

Groundhog es un núcleo de adaptador de bus de host SATA de código abierto escrito en Verilog. Me preguntaba si alguien tenía consejos sobre cómo comenzar a entender cómo funciona. ¿Es para pasar del nivel alto al nivel bajo? ¿o viceversa? ¿Dib...