Preguntas con etiqueta 'hdl'

3
respuestas

Usando el ajuste de registro para canalizar un módulo

Por lo que he leído, entiendo que las herramientas de síntesis modernas son capaces de volver a sincronizar los registros donde los registros se mueven entre la lógica combinacional para cumplir con las restricciones de tiempo. Por ejemplo, e...
1
respuesta

¿Tengo que conectar explícitamente todos los pines del chip de Ethernet en el FPGA al diseñar un nuevo controlador?

Con respecto al periférico Ethernet del Spartan 3E FPGA, específicamente el chip Ethernet SMSC LAN83C185. La tarea es crear nuestra propia interfaz entre el PLB y el chip de Ethernet. Hasta ahora, lo he estado basando en el controlador xps_ether...
4
respuestas

Generación de relojes no superpuestos en FPGA usando VHDL

Estoy tratando de implementar circuitos de capacitores conmutados y, por lo tanto, necesito generar un reloj de dos fases sin superposición. He estado tratando de usar un FPGA para el mismo. Desafortunadamente, mi herramienta de síntesis: Quartu...
3
respuestas

Da libertad de síntesis para elegir la señal

Me pregunto si hay algún tipo de indiferencia en Verilog (o VHDL) donde pueda decir, use la señal A o la señal B, realmente no me importa porque serían funcionalmente equivalentes, solo ¡Haz tu mejor esfuerzo, buena suerte! Ejemplo : module...
1
respuesta

Asignando el valor diferente a los parámetros en Generar bloque en Verilog

Quiero crear una instancia de un módulo que tenga parámetros usando el bloque de generación. Pero quiero asignar diferentes valores a los parámetros para diferentes instancias del módulo. Por ejemplo:    Este es mi módulo que quiero ins...
2
respuestas

¿Qué cosas se pueden hacer en VHDL pero no en verilog y viceversa?

VHDL y Verilog son bastante similares, pero no tienen las mismas características, aunque sin duda hay una superposición masiva. ¿Cuáles son algunas de las cosas que son más fáciles de hacer en VHDL pero no tan fáciles o incluso imposibles de...
1
respuesta

¿Cómo hacer referencia a subconjuntos de lógica [31: 0] en SystemVerilog?

(Tengo dos preguntas para ti al final.) Estoy usando SystemVerilog para hacer varios ejercicios (para edificación personal) en el capítulo 7 de Diseño digital y arquitectura de computadora . Estoy usando Quartus II 13.1.2 Web Edition de Alte...
2
respuestas

Forma correcta de definir retrasos de propagación en VHDL

Actualmente estoy aprendiendo VHDL. Como ejercicio decidí implementar algunos de los chips de la serie 7400. A continuación se muestra el 74153 y, mientras lo probamos con ghdl / gtkwave, parece funcionar. Pero estoy seguro de que esta implem...
1
respuesta

¿Cómo determina Xilinx ISE el orden de compilación?

Estoy trabajando en un proyecto VHDL que es una prueba pequeña de SDRAM. Tengo estas entidades: arriba sdramwrapper sdram < - el núcleo IP generado sdrampkg < - contiene un paquete que contiene constantes utilizadas en varios lugar...
1
respuesta

¿Hay un monitor de bus gratuito / de bajo costo en VHDL / Verilog para los protocolos ARM AXI / AXI4 y / o AXI4-Stream?

Estoy buscando algo para registrar las lecturas y escrituras en un bus AXI 4 en un archivo. Y similar para AXI4-Stream. Por lo que vale, esto es para Xilinx. Podría rodar la mía, pero esperaba que alguien más hubiera resuelto este problema.    ...