Preguntas con etiqueta 'hdl'

1
respuesta

¡El bloque inicial es tangible!

Encontré muchas publicaciones que dicen que el bloqueo inicial no se puede sintetizar en Verilog HDL . Incluso seguí la referencia estándar ( enlace ). Personalmente uso restablecer para inicializar cualquier contenido en bloques de memo...
1
respuesta

¿Cuál es la metodología adecuada para crear diseños de FPGA portátiles?

Los diseños FPGA pueden contener RTL junto con bloques IP. Estos bloques de IP probablemente serán del proveedor del FPGA. Los ejemplos de dichos bloques de IP son la creación de instancias de FIFO de reloj dual, bloques matemáticos de punto flo...
5
respuestas

¿Cómo diseña los procesadores / microprocesador [no es amplio]

Disculpas por este título vago, pero mi pregunta es un poco específica. Tengo dos preocupaciones: Durante mi clase de electrónica digital, me dijeron que el diseño del procesador se lleva primero en una placa FPGA y se verifica. Sólo enton...
3
respuestas

Reinicio asíncrono en verilog

Soy nuevo en verilog y tengo un poco de problemas para llevarme bien con él. Leí sobre reinicio asíncrono y síncrono y creo que lo logré, pero al implementar el mismo con verilog no puedo entender una línea de código que vi en este sitio web...
2
respuestas

¿Por qué el diseño de mi ALU demora el envío de los resultados de dos ciclos de reloj desde la entrada de datos válidos?

Hola EE StackExchange! Hace varios meses que intento diseñar una CPU simple de 8 bits. Sin embargo, estoy experimentando un problema: la ALU genera el resultado de la operación dos ciclos de reloj después de que se ha presentado con datos vál...
4
respuestas

Diferentes maneras de usar los cortes DSP en Spartan 6 FPGA

Estoy leyendo la guía del usuario del segmento DSP de Spartan 6, y necesito usar el segmento DSP en un proyecto mío. Me topé con esta pregunta, que Básicamente sugiere 3 formas de usar los cortes DSP Inferir el segmento DSP Uso del ge...
2
respuestas

¿Cuándo se ejecuta la asignación de señal concurrente?

Teniendo el siguiente código: library IEEE; use IEEE.std_l0gic_1l64.all; —— entity entity t_ff_s is port ( T,$,CLK : in std_logic; Q : out std_log1c); end t_ff_s; —— entity architecture my_t_ff_s of t_ff_s is signal t_tm...
2
respuestas

¿Necesito una licencia para diseñar núcleos IP con interfaces AXI?

Muchos núcleos IP, especialmente de Xilinx, tienen una interfaz AXI de ARM. (AXI, AXI-Lite, AXI-Stream, APB, ... son parte de AMBA - arquitectura de bus de ARM). El estándar de la interfaz AXI es de descarga gratuita (después del registro), p...
2
respuestas

Conversión de reloj de 100MHz a 65MHz para VGA

He escrito algunos códigos HDL para convertir la frecuencia del reloj en FPGA (100MHz) a una frecuencia que sea compatible con mi monitor VGA (65MHz): reg FLAG = 0; reg [26:0]count; always@(posedge clock) begin if(reset) count <= 'd...
2
respuestas

Inicializar correctamente un registro de desplazamiento (Verilog)

He estado luchando con un programa Verilog muy simple. Es un registro de desplazamiento de 4 bits que se gira en cada ciclo de reloj y controla cuatro LED. (Como puede ver, soy nuevo en los FPAG y HDL). El problema : debajo del código se sin...