Estoy creando un sistema en Quartus de acuerdo con esta pregunta
Cómo actualizar un Quartus ¿Proyecto II de SOPC a QSys?
Ahora, una parte del problema es cómo asignar pines de reloj / reinicio a mi sram. En Quartus no tengo las opciones....
Tengo este módulo superior
entity top is
Port
(
CLock : in STD_LOGIC;
LED : out STD_LOGIC_vector(3 downto 0);
taster : in std_logic_vector(3 downto 0);
teste_led: out std_logic;...
Tengo un tablero de desarrollo de bajo costo BeMicro CV de Altera. Cuenta con un ciclón V.
FYI: Schematics and gerber here
Dirigieron las líneas diferenciales controladas por impedancia a algunos encabezados dobles duales de 2.54 mm....
Tengo un módulo para mostrar un número base 10 en mi pantalla de 7 segmentos.
module displayN(input [13:0] n, input clk, input [3:0] an, input [6:0] seg);
wire [3:0] d1 = n % 10;
wire [3:0] d2 = (n / 10) % 10;
wire [3:0] d3 = (n /...
Acabo de recibir una placa Digilent Basys 3 (Artix-7 FPGA) y estoy intentando crear un programa para transmitir datos a través de la conexión UART-USB. Escribí un módulo, pero cuando intenté implementarlo, recibí un error de tiempo. He estado us...
Estoy realizando un experimento en la placa Xilinx VC709. El experimento consiste en eliminar y conectar la memoria RAM DDR3 mientras se ejecuta el FPGA. Pero cada vez que vuelvo a conectar la memoria RAM, tengo que reprogramar el FPGA. Estoy us...
Estoy creando un sistema que, entre otras cosas, tiene un sensor de imágenes que emite video digital a 30 fps y un sistema de pantalla que se muestra en un monitor a 60 fps. El sistema actualmente utiliza un controlador de búfer de cuadros que h...
Acabo de empezar FPGAs. Sé que Vref está diseñado para bancos de E / S especialmente necesarios para algunos estándares de E / S o mantenimiento débil. Si no los necesito, ¿debo conectar a tierra estos pines o dejarlos flotando (no conectados)?...
La interfaz independiente de 10 Gigabit Media ( XGMII ) es un estándar de interfaz que utiliza 72 pines de datos para ambos RX y TX. Según tengo entendido, de esos 72 pines, solo 64 son en realidad datos, los 8 restantes son para control de erro...
Antes de llegar a mi pregunta, aquí están las especificaciones para el tablero y la herramienta de síntesis que estoy usando:
Familia: Spartan3
Dispositivo: XC3S200
Velocidad: -5
Herramienta de síntesis: XST
Mi multiplicador de 4 bi...