Preguntas con etiqueta 'vhdl'

2
respuestas

Resultados inesperados al multiplicar en VHDL

Estoy tratando de hacer un BCD simple - > Trabajo de operación de conversión binaria en una ALU Estoy codificando. Todas las demás operaciones funcionan perfectamente bien, solo que esta última operación no funciona por alguna razón. He in...
4
respuestas

¿FPGA más barato para diseños fijos?

Creo que los FPGA son (relativamente) caros porque se pueden reconfigurar muchas veces. Si tuviera que hacer un trabajo de diseño en un FPGA, y decidiera construir varios (aunque no muchos) de ellos en un tablero personalizado usando el mismo cód...
1
respuesta

con imagen distorsionada en VGA con placa FPGA

Estoy utilizando una placa fpga spartan 3E-100 CP132 para mostrar una imagen plus básica en un monitor. He intentado usar 800x600 72 hz y 640x480 60 Hz, pero siempre obtengo líneas verticales distorsionadas. ¿Es porque el oscilador a bordo es de...
4
respuestas

¿Cómo se implementa este simple contador en un FPGA sin reloj?

Como parte de una asignación, debo crear estos bloques que se unen a un módulo de nivel superior más grande. (hay mas bloques no fotografiados). Tengo todo funcionando bien, excepto este contador ARRIBA / ABAJO porque realmente no puedo entender...
4
respuestas

Generando tren de pulsos de frecuencia variable en un FPGA

Estoy trabajando en la generación de un tren de pulsos para controlar un motor que acepta un tren de pulsos como entrada. Cada pulso corresponde a un incremento de movimiento preestablecido; Puedo establecer un pulso igual a 1/1000 grados (o lo...
2
respuestas

¿Operadores de cambio VHDL?

Todavía estoy tratando de acostumbrarme a algunos de los caprichos de VHDL y tengo un pequeño problema. En primer lugar, entiendo que los operadores de cambio como rol, ror, ssl, srl, etc. no son sintetizables. El propósito de este laboratorio e...
3
respuestas

¿Qué tan rápido debo registrar mi CPLD en comparación con la velocidad de mi bus SPI?

Como estoy seguro de que todos aquí saben, en el diseño de FPGA / CPLD, a menudo se necesita sincronizar una señal asíncrona más lenta (por ejemplo, la línea SCK de SPI) con una señal de reloj mucho más rápida que se envía directamente al FPGA /...
1
respuesta

¿Qué hace el FPGA con los pines de E / S no referenciados?

Aquí hay una que me ha estado desconcertando por un tiempo. Tengo un diseño FPGA en Altera, manejando un chip de audio y programado a través de su interfaz serial. Monitoreo y depuro esto con un osciloscopio / SignalTAP. El chip está configur...
3
respuestas

¿Cómo convertir un número de punto flotante a entero, usando VHDL?

Quiero convertir un número de coma flotante en un número entero. Básicamente tengo un número de punto flotante entre 1 y 0, con tres decimales, y quiero pasarlo a un número entero como si se multiplicara por 1000. Sospecho que debería haber una...
3
respuestas

¿Cómo puede el número de ciclos de reloj requeridos para completar una instrucción en un procesador canalizado menos que la latencia de la tubería?

No soy nuevo en arquitectura de computadoras, pero solo tengo experiencia académica con la implementación de microarquitecturas. He escuchado y leído esto muchas veces, pero nunca me molesté en comprender la afirmación: Algunas instrucciones...