Preguntas con etiqueta 'vhdl'

1
respuesta

¿Qué hace este código VHDL?

Estaba revisando un código que implementó un circuito utilizando una implementación VHDL de "estado enumerado explícito". Estoy confundido en cuanto a lo que hace este fragmento de código, mi confusión está relacionada con la sintaxis, por lo...
1
respuesta

propósito del controlador

¿Por qué necesitamos usar el controlador? entity driver is port ( x : in std_logic ; F : out std_logic ); end driver; architecture behv of driver is begin F <= x ; end behv; Este segmento de código, creo,...
1
respuesta

VHDL Estado de algoritmo de salida

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity ASM is port(clk, rst, A, B: in std_logic; Z:buffer std_logic_vector(1 downto 0)); end ASM; architecture asm1 of ASM is type t_state is(T0,T1,T2,T3); signal c...
4
respuestas

¿Por qué Xilinx ISE no infiere Block Ram para esta matriz?

Tengo una entidad que tiene un tipo de matriz como se muestra a continuación: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; Entity LCD_Memory is port (CLK, Reset, WR : IN std_logic;...
1
respuesta

¿VHDL para bucles solo permite incrementar en 1?

El VHDL para bucle se parece a esto, por ejemplo: for i in -5 to 5 loop -- Do something end loop; ¿Podemos aumentar solo en 1 o tener un valor de tamaño de paso arbitrario?     
2
respuestas

error VHDL: múltiples controladores constantes para red

No puedo encontrar la forma de lidiar con el error: "varias unidades constantes" que se producen cuando intento leer y configurar la misma red en un solo proceso. Necesito configurar la "salida" para algunos ciclos de reloj en el flanco ascen...
3
respuestas

Procesar instrucciones y ejecución secuencial en VHDL

Para las declaraciones de proceso en VHDL, se dice que el orden de ejecución es secuencial. Mi pregunta es, ¿las señales a , b y c están asignadas a sus nuevos valores de forma concurrente o secuencial? process(clk) is begi...
2
respuestas

VHDL si se genera en el preámbulo, ¿es posible?

¿Es posible generar un conjunto diferente de constantes en un preámbulo vhdl usando una especie de "si genera una declaración"? Por ejemplo, estaba intentando: biblioteca ieee; use ieee.std_logic_1164.all; entity my_entity is generic(...
1
respuesta

ModelSim: ¿Por qué no puedo ver los genéricos en la simulación?

Cuando comienzo la simulación, puedo ver las señales y los puertos en la ventana de objetos para lo que he seleccionado en la ventana Sim. Además de esto, puedo ver procesos para lo mismo en la ventana de procesos. Sin embargo, los genéricos no...
2
respuestas

Entiende el código VHDL

He estado aprendiendo la codificación VHDL durante las últimas dos semanas para poder entender el código VHDL de lo que estaré trabajando. En otras palabras, todavía soy un principiante y necesito ayuda para entender algunos códigos que he encon...