Preguntas con etiqueta 'vhdl'

2
respuestas

palabra clave del bus VHDL

Me pregunto cuál es el propósito de la palabra clave bus en VHDL? Aparece como palabra clave reservada aquí pero nunca lo encontré (todavía).     
2
respuestas

Gráfico de histograma en ModelSim Simulator

Tengo una memoria (banco de registros), este banco tiene 255 registros de que cada registro contiene un número de 16 bits, el tipo de registros es STD_LOGIC_VECTOR pero no hay problema si los convierto a enteros Quiero mostrar un gráfico de h...
1
respuesta

VHDL: ¿Es posible tener una cadena de "longitud variable" en VHDL como en los lenguajes de programación?

Según el paquete denominado Estándar en VHDL, la cadena se declara realmente como una matriz de tipo de carácter donde el tipo de carácter en sí también se define dentro del paquete. Siempre que complete una cadena con datos que luego se escr...
1
respuesta

ADC usando MCP3008 en FPGA -

En este momento estoy tratando de usar MCP3008 como un ADC, pero por alguna razón no convierte la salida correctamente. (Un proyecto para principiantes). Le proporciono un 3.3 V = vref = Vdd = ch0 Pero mi salida parece que nunca se convier...
1
respuesta

referencia ilegal de una señal durante una elaboración estática, vhdl

Tengo una entidad dentro de dicha entidad que declara un componente y, por supuesto, necesito establecer el mapa de puertos en el componente. básicamente he hecho algo como port map (x => '0' & signal_id, ... digamos que...
1
respuesta

Restricción del conjunto de señales registradas en GHDL

Tengo un gran diseño VHDL con cientos de señales internas. Necesito ejecutar una simulación de la misma durante mucho tiempo en GHDL y, en base a una ejecución de prueba corta, el volcado de VCD resultante sería de ~ 50GB para la ejecución compl...
1
respuesta

Concatenación en el mapeo de puertos

Usando Vivado 2015.2, VHDL. Recibí una advertencia "[Synth 8-1565] real para el puerto formal b no es un nombre estático ni una expresión estática global" ADD1: Adder_32_33 PORT MAP ( A => a1, B => a1&"0", -- warning here CLK => c...
2
respuestas

Entrada única para transiciones de estado consecutivas en un FSM: evitando caídas

Considere el siguiente diagrama de estado donde las entradas son c y v . El sistema también recibe un reloj de alta frecuencia clk , aproximadamente 50 MHz. Comosemuestraeneldiagrama,laprimeraentradaseusaparaavanzaraladerec...
3
respuestas

Da libertad de síntesis para elegir la señal

Me pregunto si hay algún tipo de indiferencia en Verilog (o VHDL) donde pueda decir, use la señal A o la señal B, realmente no me importa porque serían funcionalmente equivalentes, solo ¡Haz tu mejor esfuerzo, buena suerte! Ejemplo : module...
1
respuesta

Uso de la megafunción ROM en el código VHDL

He creado una megafunción de ROM con el Administrador de complementos de MegaWizard. Esto creó un nuevo archivo que nombré rom.vhd. Mi código: library ieee; use ieee.std_logic_1164.all; entity first is port( PC: in STD_LOGIC_VECTOR(7 downt...