He creado una megafunción de ROM con el Administrador de complementos de MegaWizard. Esto creó un nuevo archivo que nombré rom.vhd.
Mi código:
library ieee;
use ieee.std_logic_1164.all;
entity first is
port(
PC: in STD_LOGIC_VECTOR(7 downto 0);
data : out STD_LOGIC_VECTOR(7 downto 0);
clock : in STD_LOGIC
);
end first;
architecture behavioral of first is
begin
rom_inst : rom PORT MAP (PC, clock, data);
end behavioral;
Recibo el error: Error (10482): error VHDL en first.vhd (15): el objeto "rom" se usa pero no se declara
¿Cómo puedo solucionar este problema para que VHDL reconozca que tengo una ROM en mi archivo externo llamado ROM.vhd?