Preguntas con etiqueta 'vhdl'

2
respuestas

¿Cómo puedo hacer un interruptor 4x4 con 2x2?

Quiero diseñar un interruptor 4x4 con interruptores 2x2. El interruptor 2x2 Los interruptores 2x2 tienen una relación de uno a uno entre entradas y salidas. Como tal, la señal de selección para el conmutador 2x2 es solo un bit porque hay...
1
respuesta

Implementando el EPP de Digilent

Estoy intentando implementar la interfaz paralela de Digilent desde su SDK . Puede leer específicamente la interfaz en este manual . Estoy usando la placa Basys 2 250k. Estoy tratando de averiguar qué estoy haciendo mal, lo que creo que...
1
respuesta

Árbitro de bus que puede manejar múltiples solicitudes concurrentes

Estoy trabajando a través de un problema extraño. Tenemos restricciones de pines en nuestro FPGA y necesitamos controlar un bus común. Todo estaba bien y bien, ya que todo el software era secuencial, pero ahora se recibirán algunas solicitudes a...
2
respuestas

¿Cómo implemento un temporizador de inicio en un circuito digital?

En vhdl, sé cómo codificar un temporizador de inicio de forma conductual. Sin embargo, si tengo que implementar dicho contador para comenzar en función de un desencadenante, ¿cómo podría implementar dicho contador? Mi activador es otra señal...
2
respuestas

control de tipos VHDL

Tengo (espero que no sea tonta) una pregunta sobre el control de tipos en vhdl. type data_t is record -- 16 bytes + 1 bit order : std_ulogic_vector(7 downto 0); data : std_ulogic_vector(111 downto 0); --14 bytes data d...
2
respuestas

Xilinx Place Error 1136: este diseño contiene una instancia de búfer global que controla los siguientes pines de carga sin reloj

Espero que alguien pueda explicar en términos simples por qué podría estar recibiendo este error de la herramienta de mapas Xilinx: ERROR:Place:1136 - This design contains a global buffer instance, <reset_IBUF_BUFG>, driving the net, <...
2
respuestas

¿Es bueno codificar lógica combinacional y secuencial separada en dos bloques siempre?

El modelado de lógica secuencial y combinacional dentro del mismo siempre bloquea una buena práctica o se recomienda codificarlos en bloques separados. always @(a or b) y = a ^ b; always @(posedge clk or negedge rst_n) if (!rst_n) q <...
1
respuesta

¿Cómo vinculo dos componentes de diferentes archivos en VHDL?

Lo siento por la cantidad de código por adelantado (agregué el código ya que no estaba seguro de que sea necesario aquí para resolver mi problema). Mi objetivo principal es vincular dos componentes que están juntos en dos archivos .vhd...
1
respuesta

Problemas de sincronización y diseño de tuberías

Estamos trabajando en un procesador canalizado escrito en VHDL, y tenemos algunos problemas con el tiempo, la sincronización y los registros en el simulador (el código no necesita ser sintetizable, porque lo vamos a ejecutar solo en el simulador...
2
respuestas

No hay respuesta del módulo de cámara Arducam OV5640

Estoy trabajando en un proyecto que involucra cámaras que usan un FPGA Zynq en una placa Digy Zybo. Puedo obtener una respuesta exitosa de un OV7670 configurándolo a través de SCCB. Incluso sin configuración, el OV7670 proporciona un PCLK y cont...