Preguntas con etiqueta 'vhdl'

1
respuesta

VHDL: mapa de puertos en error de proceso

Estoy diseñando una ALU en VHDL. En otro archivo llamado adder16bit, he diseñado mi sumador y quiero usarlo de diferentes maneras, por ejemplo, si ALU_OP es ADD, quiero que lo haga además, si es SUB, quiero que se reste, etc. Estoy usando una de...
1
respuesta

potencia de los fallos en comparación con la potencia consumida en el pinelining

primero déjame definir fallos como lo entiendo y me corrijo si me equivoco. Los fallos son la propagación de una señal inestable a través del sistema que ocurre cuando uno de los operandos llega al bloque computacional (sumador, por ejemplo) ant...
1
respuesta

Incrementa el contador presionando un botón con salida a leds

Tengo este código simple que no se comporta como debería y no puede entender por qué. entity test is port (D0 : in std_logic; output : out unsigned(6 downto 0)); end test; architecture Behavioral of test is signal clk...
2
respuestas

VHDL: ¿usando PORT MAP en CASE?

library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_unsigned.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following li...
1
respuesta

acelerando el vivado 2017.2

Hola, estoy usando vivado 2017.2 y se necesita una cantidad infinita de tiempo para la síntesis, e incluso sospecho que el vivado está atascado porque no llego a ninguna parte. ¿Cómo puedo acelerar el proceso? ¿Qué configuraciones puedo cambiar...
1
respuesta

cómo se manejan los recursos para los mapas de bits (y objetos gráficos) en Quartus

en un proyecto que he realizado recientemente (Quartus, Cyclone 2, altera) quería producir tanto una salida gráfica VGA como una salida de sonido (a través de otra placa). He notado que una gran cantidad de celdas lógicas se colocaron en arreglo...
1
respuesta

Asignación de valores al problema de sintaxis de varias salidas: ',' o ';'

Quiero asignar múltiples valores a las señales como se muestra en el siguiente ejemplo. ¿Separo los valores allí por ; o , ? Ejemplo: opcode : process (OP) begin case OP is when CALL => AOp <= "000", -- am...
1
respuesta

¿No admite GHDL la generación infinita de relojes en testbench?

Tengo un problema con una generación de reloj en GHDL. Estoy intentando simular un reloj infinito (en términos de tiempo de simulación), pero el comando en GHDL para analizar (-a) el VHD se atascó. Aquí está el código: library IEEE; use IE...
2
respuestas

Expresión de valor real no constante no compatible

Estamos implementando el algoritmo de mínimos cuadrados medios (LMS). Si agregamos la línea 5 y la línea 6 (como se indica en los comentarios a continuación), obtendremos un error desde la línea 1 (como se indica en los comentarios) que    no...
2
respuestas

¿Cómo convertir T-flip-flop en un contador de 8 bits?

Tengo este código para un t-flip flop: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity tff is Port ( clk : in STD_LOGIC; enable : in STD_LOGIC; t : in STD_LOGIC; q : out STD_LOGI...