Preguntas con etiqueta 'vhdl'

1
respuesta

O o L después de 3 pulsos de reloj VHDL

Necesito ayuda para resolver un problema de bloqueo de código en VHDL. Lámpara abierta alta después de 3 secuencias 100,101,110 De lo contrario, la lámpara de bloqueo de alta. Cada secuencia se alimenta con un pulso de reloj. Las lámparas son...
1
respuesta

Aritmética de punto fijo

Quiero dar dos datos de entrada de mi banco de pruebas desde un archivo que contiene bits (std_logic_victor (15 downto 0) a alguna operación aritmética entre el punto fijo y los archivos, pero cuando comienza, veo los siguientes mensajes en la c...
1
respuesta

¿Cómo sobrescribo los valores genéricos en un banco de pruebas VHDL?

Soy nuevo en VHDL, y estoy trabajando con un UART bloque . Estoy intentando crear un banco de pruebas y anular el ancho de datos, pero recibo errores que dicen que mis señales no están declaradas:    ERROR - C: / Usuarios / xxx / Documentos...
2
respuestas

problema con el código VHDL multiplicador de cabina

Estoy tratando de construir un multiplicador de cabina de 4 bits usando VHDL. No sé por qué, pero el bloque de proceso se ejecuta una sola vez. El estado cambia de inactivo a ocupado, entonces no funciona. library IEEE; use IEEE.STD_LOGIC_116...
1
respuesta

pregunta de UART HDL

Para el siguiente código HDL de TinyTx , ¿por qué?    shift_reg < = '1' & datos y amp; '0'; y    shift_reg < = '0' & shift_reg (9 downto 1); library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity tiny_rs232_tx is Port...
1
respuesta

Advertencia de puerto desconectado en VHDL

Recibo esta advertencia después de completar la síntesis en Vivado. Tengo un solo ram del puerto que se construye usando el generador de memoria de bloque. Su salida está conectada a la entrada data_in del módulo Brightness_Contrast pero, aparen...
1
respuesta

¿Cómo hacer la simulación en modelsim 10.4 se?

Quiero hacer un banco de pruebas para mi mux21 pero no puedo encontrar una manera, y en línea no hay nada claro, aquí está mi código. library IEEE; use ieee.std_logic_1164.all; entity mux21 is port( a,b,sel: in std_logic; s: out std_lo...
2
respuestas

No entiendo por qué "if" no se activa en modelsim vhdl sim

Estoy usando una lógica programable para decodificar una secuencia de impulsos largos o cortos en letras latinas de acuerdo con el código morse. Estoy usando VHDL para describir nuestro diseño, para ser precisos, estoy usando Quartus Prime para...
1
respuesta

¿Cómo genero un archivo .JED para programar un ATF750C con lenguaje VHDL?

Sé cómo programar chips normales PAL / GAL22V10 y estoy interesado en usar el dispositivo mejorado Atmel ATF750C CPLD porque parece 100% compatible con pines y voltaje con los chips PAL / GAL22V10. También sé que mi programador es compatible con...
1
respuesta

¿Cómo puedo manejar el desbordamiento o el subdesbordamiento en VHDL?

¿Cómo puedo manejar el desbordamiento o el subdesbordamiento al implementar la siguiente ecuación en VHDL para algunas iteraciones? $$ α = α (s) - max (αi) ~~~~ i ϵ (0,3) $$ Si alguno de los \ $ αi \ $ 'se convierte en 0 o negativo, el \ n...