Quiero hacer un banco de pruebas para mi mux21 pero no puedo encontrar una manera, y en línea no hay nada claro, aquí está mi código.
library IEEE;
use ieee.std_logic_1164.all;
entity mux21 is
port( a,b,sel: in std_logic;
s: out std_logic);
end mux21;
architecture arch_mux21 of mux21 is
begin
with sel select s<=
a when '0',
b when '1',
'0' when others;
end arch_mux21;