Preguntas con etiqueta 'vhdl'

1
respuesta

Formal parcialmente asociado no puede tener OPEN real en VHDL bajo Vivado

Recibo el error "[Synth 8-2519] q8 formal parcialmente asociado no puede tener OPEN real": este error es para la línea Q8(0) => OPEN, y todas las asignaciones OPEN similares. Los estoy usando porque el elemento IN_FIFO es demasiado gra...
1
respuesta

Conflicto de nombre de tipo entre bibliotecas FPGA

He adquirido núcleos FPGA de dos proveedores diferentes, ambos escritos en VHDL. Ambos núcleos tienen un tipo definido llamado ahb_slv_out_vector pero los dos tipos no son compatibles. Necesito usar ambos tipos en mi módulo de nivel superior, pe...
3
respuestas

¿Significado de unidad fuerte y débil en VHDL?

¿Cuál es el significado y el efecto de la unidad "fuerte" y "débil" mostrada por (0,1) y (L, H) en el paquete ieee.std_logic_1164 de VHDL?     
1
respuesta

Advertencia: real para el puerto formal a no es ni un nombre estático ni una expresión global estática

Este es el código que he implementado: entity tinyMultiplier2X is Port ( x : in STD_LOGIC_VECTOR (3 downto 0); y : in STD_LOGIC_VECTOR (3 downto 0); ml : out STD_LOGIC_VECTOR (3 downto 0); mh : out STD_LOGIC_VECTOR (3...
1
respuesta

Problema para que la sintaxis de VHDL sea correcta

Estoy tratando de aprender VHDL antes de regresar a la escuela. He estado usando el texto Diseño digital con aplicaciones CPLD y VHDL ISBN-13: 978-1401840303 Otras referencias son: enlace y enlace Lo que estoy tratando de lograr es crear...
2
respuestas

¿Cuándo se ejecuta la asignación de señal concurrente?

Teniendo el siguiente código: library IEEE; use IEEE.std_l0gic_1l64.all; —— entity entity t_ff_s is port ( T,$,CLK : in std_logic; Q : out std_log1c); end t_ff_s; —— entity architecture my_t_ff_s of t_ff_s is signal t_tm...
2
respuestas

VHDL: bucle a través de un módulo de forma asíncrona

Tengo un módulo VHDL que aplica un algoritmo de orden aleatorio a una entrada de 64 bits y genera el resultado de 64 bits. Necesito pasar esta salida de vuelta a través del módulo exactamente 4 veces, me preguntaba si había una manera de hace...
2
respuestas

Crear un retraso más corto que un período de reloj en CPLD

Tengo varios periféricos que se conectan a CPLD. Todos tienen retrasos de propagación diferentes, y para compensar eso deseo introducir un retraso de aproximadamente 10-15 ns en la lógica de CPLD. En detalle, clkOUT debe retrasar el clkIN en apr...
3
respuestas

Confundido sobre cómo implementar ALU usando VHDL estructurado

Soy nuevo en VHDL, trabajando en la asignación para mi clase de arquitectura de computadora: implemente la ALU de 32 bits usando VHDL, que realiza solo ciertas operaciones: and , shift left , shift right , complementing o...
1
respuesta

VHDL: declaración de una matriz vacía (en un banco de pruebas)

La pregunta es bastante simple: ¿es posible declarar una matriz vacía en VHDL? Aquí está mi problema: estoy tratando de escribir un módulo (para un ejercicio en el libro de Peter Ashenden), que encontrará el mayor entero en una matriz de ente...