Preguntas con etiqueta 'vhdl'

1
respuesta

archivo de bits Xilinx diferente entre compilaciones

Estoy tratando de entender lo que sucede con los resultados binarios después de crear same VHDL fuentes utilizando el último ISE de Xilinx. He escuchado información conflictiva sobre los archivos de bit / bin resultantes. ¿Es razonable la e...
3
respuestas

obteniendo un error de para bucles en vhdl

Hola, estoy tratando de usar 2 anidados para bucles en vhdl pero me sale este error. [Synth 8-561] la expresión de rango no se pudo resolver en una constante [318] No entiendo por qué este código no funciona, ya que cursor_pos_x o cursor_p...
1
respuesta

¿Por qué mi señal de reloj VHDL está tan lejos de lo que pensé que sería?

Soy nuevo en FPGA y VHDL. Se suponía que el siguiente código era 5MHz pero obtengo 4.167MHz en mi alcance. La placa FPGA que tengo es un Mojo v3 que tiene un reloj 50MHz . ¿Qué estoy haciendo mal? library IEEE;...
1
respuesta

VHDL Puerto sin restricciones en una entidad

Tengo un problema con la definición de una entidad. Estoy escribiendo una envoltura que acepta varios genéricos. Entre estos genéricos hay algunos valores booleanos que tienen la función de habilitar o deshabilitar la transmisión de alguna señal...
1
respuesta

Xilinx ISE advierte que una señal se recorta ya que tiene un valor constante de 0, pero la señal se usa dentro de mi código

He creado el siguiente módulo VHDL, que se utiliza como un contador arriba / abajo. entity counter is Port(clk : in STD_LOGIC; count_clk : in STD_LOGIC; reset : in STD_LOGIC; count_up : in STD_LOGIC; coun...
2
respuestas

FPGA RAM / SRAM en VHDL

Hoy me quedé sin puertas en mi Xylinx Spartan 3 (Basys2 por Digilent) FPGA. Esto no fue una sorpresa para mí, ya que había implementado una matriz de 8 bits x 2048 para usar como un búfer FIFO. Código: type MEMORY is array(0 to (MEM_L -...
2
respuestas

¿Qué significa delta en ModelSIM?

Ejecuté una simulación para un circuito lógico combinacional con 8 entradas, 4 salidas dentro de MODELSIM. Cuando veo la forma de onda simulada, todo se ve bien, sin embargo, cuando exporto el resultado a un archivo de lista, hay algunos valores...
6
respuestas

¿Qué determina el número de píxeles en el porche delantero y en el porche trasero de la pantalla VGA?

Además de esto, ¿el reloj de píxeles depende de la resolución VGA y la tasa de referencia o es independiente de ellos? ¿Qué sabe uno qué duración debe tener cada píxel?     
1
respuesta

Creando contadores / temporizadores grandes

A menudo necesito crear un contador grande en mis proyectos, principalmente para hacer algo de tiempo, que podría ser parpadear un LED cada segundo ect. He hecho esto creando un contador grande, como se muestra en el código a continuación....
1
respuesta

¿Por qué no funciona mi simple contador VHDL? ¿A dónde fueron mis señales?

Soy un principiante completo con VHDL y casi un principiante con lógica digital y tengo un problema para leer un libro que estoy leyendo. En particular, un ejercicio solicita construir un contador con una habilitación y un interruptor de reinici...