Preguntas con etiqueta 'vhdl'

2
respuestas

¿Por qué la declaración de la función VHDL no acepta límites para el tipo de retorno, por ejemplo? std_logic_vector?

Sé que en VHDL cada llamada de función se crea como un circuito combinacional separado. Estoy escribiendo una función que toma un valor de 4 bits y devuelve un valor de 7 bits de una tabla de consulta. La declaración en el encabezado del paquete...
3
respuestas

Xilinx XST no infiere bloque ram

Tengo problemas para conseguir que el diseño de mi computadora FPGA 80's encaje en una placa Papilio Duo que es un Spartan 6 - xcs6slx9. El problema radica en que la RAM se deduce como distribuida en lugar de bloque. Versión corta: estoy usan...
4
respuestas

¿Cómo funciona una LUT, por qué se usa?

Me pregunto cómo funciona el LUT (look-up-table) en el diseño digital. ¿Por qué lo usas? ¿Podría darme un ejemplo de cómo implementarlo? ¿Un bosquejo de circuito o algo así? Me alegraría si tuvieras fotos para.     
2
respuestas

¿Es posible que un componente VHDL tenga múltiples arquitecturas?

Solo un pensamiento que tuve: ¿es posible que un componente VHDL tenga múltiples arquitecturas si las salidas no son modificadas por ambos? Si es así, ¿cómo podemos seleccionar el que se usará en el momento de la síntesis (como el preprocesador...
2
respuestas

¿Cómo se convierte un número entero como un tiempo en VHDL?

Con el propósito de simplificar un banco de pruebas, me gustaría establecer varios retrasos cambiando los valores numéricos en la parte superior del archivo. Me gustaría hacer algo como: input_frequency : integer := 1000000; ... constant t_per...
2
respuestas

¿Cómo sabe una arquitectura estructural qué entidad usar?

Así que estoy siguiendo este tutorial en el que explican algunos VHDL básicos mediante el uso de un sumador de cuatro bits como ejemplo: -- Example of a four bit adder library ieee; use ieee.std_logic_1164.all; -- definition of a full add...
1
respuesta

La simulación de VHDL muestra 'X' para la entrada

Soy nuevo en VHDL y estoy tratando de simular un multiplicador de matrices (he usado Verilog antes). Sin embargo, en los resultados de la simulación muestra 'X' para las entradas que solían ser '1'. Aquí está el resultado: YesteeselTestbench:LI...
2
respuestas

Fallos del receptor UART

Encuentro problemas con mi módulo receptor UART. Se supone que funciona a 9600 baudios sin bit de paridad y solo un bit de parada. El problema es que mi UART pierde algunos caracteres (o para indicar que recibió algunos caracteres) de una man...
2
respuestas

Pregunta de VHDL: Ejecución secuencial dentro de un proceso

He heredado un código VHDL que necesito extender. Hay una pieza ahí dentro que me parece un error, pero mientras soy un desarrollador de C desde hace mucho tiempo, no tengo experiencia en VHDL. Entiendo que los procesos se ejecutan esencialmente...
1
respuesta

RAM multipuerto (1 puerto de escritura, muchos puertos de lectura)

Tengo un proyecto donde puedo necesitar una memoria RAM de búsqueda de 128 KB. Tengo 1 puerto de escritura que escribe los valores de búsqueda al inicio de la aplicación. Tendré más de 2 puertos de lectura (asumo 4). No quiero replicar la memori...