Preguntas con etiqueta 'vhdl'

1
respuesta

Entendiendo las restricciones de tiempo

No quiero un texto introductorio sobre restricciones de tiempo, ni una nota de aplicación, un manual del usuario, un seminario web. Los leí todos, ya, muchas veces. El concepto detrás de las restricciones de tiempo es muy fácil. Aún así, cuando...
1
respuesta

error de falta de coincidencia de tipo VHDL

Esta es mi primera pregunta. Tengo un paquete que contiene el siguiente tipo: type t_rgb_64x48 is array(0 to 47) of std_logic_vector(63 downto 0); y se está utilizando en mi archivo file.vhd. file.vhd contiene una entidad que contien...
1
respuesta

VHDL: ¿cuándo se activa la lista de sensibilidad de proceso?

En la simulación de VHDL, hay un concepto de "tiempo delta", que se interpreta de manera general como "grupo de eventos desencadenados por el tiempo delta anterior". Después de un cambio, una vez que todos los cambios en cascada se han soluciona...
1
respuesta

¿Por qué Xilinx ISE no puede acceder a mi paquete personalizado?

Estoy intentando crear un tipo de datos personalizado que estoy creando en un paquete usando Xilinx ISE 14.5. Estoy intentando crear un DEMUX genérico para cambiar entre buses, aquí está el código del DEMUX genérico: library IEEE; use IEEE.STD...
1
respuesta

Señal indefinida en simulación

Estoy tratando de verificar un diseño escrito en VHDL usando las aserciones de SystemVerilog. sin embargo, tengo un problema cuando tengo una señal 'X' no definida Por ejemplo, aquí hay un código de un comparador: entity FP_comparator_V2 is...
5
respuestas

Ingeniería inversa de la secuencia de programación del fabricante de un FPGA

A pesar de que la IP tiende a facilitar las cosas, me gustaría encontrar información sobre los protocolos e interfaces haciendo todo por mí mismo (entiendo la dificultad de la tarea y tengo recursos para ayudarme como profesores y libros). Por l...
2
respuestas

¿Cómo se usa VHDL?

Soy nuevo en la electrónica / robótica de hobby y estoy tratando de entender el caso de uso para VHDL. La sintaxis es fácil de entender, pero no veo el "bosque a través de los árboles" en algunos elementos. Por un lado, estoy tratando de dete...
2
respuestas

VHDL ¿cómo simplificar una declaración de caso redundante?

Estoy usando declaraciones de casos para verificar los bits de una palabra. ¿Hay alguna forma de escribir esto de forma más compacta, ya que usa mucho espacio (tengo otras señales en las que también quiero hacer esto)? ... case a(1 downto 0) i...
2
respuestas

¿Qué plantilla de Microsoft Visio se usaría para diseñar un diseño digital?

¿Qué plantilla de Microsoft Visio se usaría para diseñar un diseño digital? Hago muchos esquemas para el Adv. Curso de diseño digital Estoy en y no puedo encontrar la plantilla correcta. Los diagramas de flujo son demasiado genéricos y las pl...
2
respuestas

¿VHDL es solo para circuitos digitales?

Tanto Wikipedia como otras preguntas de SO sobre VHDL vs Verilog mencionan digital al describir VHDL. ¿Puede usar VHDL para diseñar circuitos analógicos ?