Preguntas con etiqueta 'vhdl'

2
respuestas

Simulación de RAM grande

Quiero probar un núcleo de video IP que lee un bloque de memoria y lo escribe de nuevo. El núcleo de IP está utilizando el VFBC. Mi idea para las pruebas fue escribir un núcleo que se pareciera al VFBC, pero que solo use una memoria RAM de 32Meg...
2
respuestas

databus de dominio de reloj cruzado

Hace un tiempo hice una pregunta sobre el cruce de dominios de reloj Diseñe la práctica cruzando dominios de reloj y señales asíncronas . Una de las "reglas" es no sincronizar nunca la señal de bits múltiples bit a bit, debido a errores de t...
3
respuestas

Simplificación lógica de VHDL

He estado teniendo un problema recurrente común cuando escribo el código VHDL. Termino escribiendo un código similar a este (como ejemplo): ENTITY registerfile IS PORT(VX : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); RS1: IN STD_LOGIC_VECT...
2
respuestas

Sintetice VHDL en circuitos integrados TTL discretos

Tengo un pequeño proyecto en VHDL (que funciona completamente en un FPGA Xilinx) y me gustaría implementarlo utilizando una placa de circuito impreso de la vieja escuela y circuitos integrados TTL discretos, en el espíritu de proyectos como Rel...
4
respuestas

Resultado de la síntesis: RTL vs Technology Map Viewer

Estoy evaluando este código a continuación. Pero vi que la salida lógica de la RTL y el Visor de mapas tecnológicos son diferentes. Yo uso Quartus Prime Elite Edition. ¿Me estoy perdiendo algo? esta es la tabla de verdad...
2
respuestas

Hello world VHDL programme - LED parpadeante

Estoy tratando de hacer que funcione un simple programa de LED parpadeante en mi FPGA y tengo problemas. En lugar de parpadear, el LED permanece encendido todo el tiempo. Intenté escribir mi en pero luego simplemente copié un programa de ejemplo...
2
respuestas

Inferencia de RAM del bloque VHDL

Estoy almacenando una tabla senoidal constante de 16k de vectores con signo de 14 bits en un paquete. Utilizo este paquete en mi módulo para leer la matriz en un proceso cronometrado Pero recibo esta advertencia durante la síntesis y mi sí...
3
respuestas

¿Cuál es el mecanismo detrás de los registros RO o WO y WR?

En los sistemas incrustados, solo tiene registros de solo lectura y de escritura. ¿Cómo se distinguen los dos tipos en el netlist producido? ¿Cómo se construye un flop en el que solo puedes escribir y no leer?     
2
respuestas

¿Cómo enrutar un reloj LVDS desde la entrada FPGA a la salida?

Utilizando VHDL, ¿cómo es posible recibir un par de señales LVDS (por ejemplo, un reloj externo) en el FPGA y enrutarlas a otros pares de pines para que salgan, sin ninguna modificación? He intentado IBUFDS y OBUFDS con una señal intermedia d...
1
respuesta

compilación de código VHDL en el quartus II

Mira este fragmento de código (voltea la imagen en X) PROCESS(iCLK) BEGIN IF (rising_edge(iCLK)) THEN -- Mise en mémoire du pixel ram(640*IdxC + PixX) <= PIXIN; -- Choix traitement IF (SWITCH='1') THEN PIXOUT <...