Preguntas con etiqueta 'system-verilog'

1
respuesta

Creando una matriz multidimensional en el sistema verilog

Quiero crear una matriz en systemverilog que tenga n entradas de m bits. logic [n-1:0] arr [m-1:0]; (a) ¿Es esta la manera correcta de hacerlo? ¿Qué pasa si cambio el orden de colocación del rango? Por ejemplo. logic arr [n-1...
2
respuestas

Parámetro vs macros para controlar a qué función se llama

Estoy trabajando en un proyecto de cifrado / descifrado AES que puede manejar AES-128, AES-192 y AES-256. La longitud de la clave de cifrado se elige en el momento de la compilación. El módulo en el que estoy trabajando genera el programa clave...
1
respuesta

Realización de demux en hardware

Siguiendo el post anterior Salida de la puerta XOR con entrada de alta impedancia Me interesa más cómo la lógica de cuatro valores de SystemVerilog se implementa eventualmente en el hardware. ¿Podría alguien corregir / confirmar mis pró...
2
respuestas

Circuito de incremento de 32 bits y programa Verilog

Tengo que construir un circuito que almacene un número de 32 bits. El circuito cuenta con una señal de control inc que, cuando está activa, incrementa el valor almacenado en 3 en cada ciclo. Si inc es 0, el circuito simplemente almacena su valor...
2
respuestas

diferencia entre y en verilog?

¿Cuál es la diferencia entre >> y >>> en verilog / system verilog? Sé que == prueba solo para 1 y 0, mientras que === prueba para 1, 0, X, Z. Entonces, ¿cómo es eso similar al operador de cambio?     
1
respuesta

Ejecución del ejemplo de UVM en MODELSIM - ALTERA 10.1d

Quiero compilar y simular este sencillo ejemplo de UVM usando la herramienta Modelsim-Altera 10.1d. module uvm_first_ex; import uvm_pkg::*; 'include "uvm_macros.svh" initial begin 'uvm_info("ID","WELCOME TO UVM",UVM_MEDIUM); end end...
1
respuesta

¿Admite iverilog las palabras clave SystemVerilog?

Estaba ejecutando un caso de prueba para iverilog proporcionado en este enlace y tenía publicó una pregunta anteriormente . Cuando probé más iverilog con uart test case, descubrí que en uart_tb.v, estamos usando el archivo uart_port.sv que...
4
respuestas

Alternar salida usando Verilog

¿Puede alguien explicarme este código? module test(clk,a); input clk; output a; reg temp; initial begin temp <= 1'b1; always @(posedge clk) a <= ~temp; end endmodule Quería escribir un código tal que para cada ciclo...
1
respuesta

¿Cómo usar un módulo en verilog como en el operador de compilación como OR, AND, XOR, etc.?

Quería modular GR como operador. ¿Cómo puedo? module GR(ou,a,b); input [7:0] a,b; input reset; output ou; wire o1,o2,o3,o4; assign ou=o1 || o2 || o3 || o4; TBC call1(o1,a[1],b[1]); TBC call2(o2,a[3],b[3]); TBC...
1
respuesta

ModelSIM no genera resultados para ninguna variable

He estado trabajando en este tema durante días y no he podido resolverlo. Esperaba que alguno de ustedes pudiera ayudarme a resolver este problema. Entonces, cuando ejecuto mi código SV en Quartus y lo compilo, no recibo ningún error. Esto ta...