Cuando intento programarlo, específicamente con VHDL, estoy tratando de comprender exactamente qué sucede en un FPGA. Sé que todos los procesos externos de código se ejecutan simultáneamente, pero ¿qué ocurre con los procesos en diferentes archi...
Cuando se trata de restablecer (de forma síncrona) los registros en HDL (usaré Verilog), ¿se considera una mala práctica codificar el reinicio de manera combinatoria? Por lo que puedo decir, los siguientes dos fragmentos son funcionalmente equiv...
Tengo un diseño VHDL bastante simple que se parece a lo siguiente:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity myCode is
port (
input_clock : in std_logic;
do_increment : in std_logic;...
Estoy realmente confundido por la concepción del tipo de red, tipo de variable, tipo de datos y objetos de datos en SystemVerilog. Estoy usando el simulador dentro de Xilinx Vivado 2018.02 para todos los códigos siguientes.
logic en Sy...
Soy un EE mayormente analógico que está tratando de configurar un kit de desarrollo de fpga (terasic de0-nano) para girar los bits de control en algunas partes de control de pulso para las que estoy haciendo una placa de evaluación. Estoy usando...
Tengo un código que agrega dos números de 4 bits; desafortunadamente no funciona para todos los casos, aunque las fórmulas son realmente simples y no encuentro el problema ...
module part2(SW, LEDG, LEDR);
input [17:0] SW;
output [17:...
Preparando un ejercicio de laboratorio, donde tenemos la tarea de generar un reloj de 1 Hz fuera del reloj del sistema de 50 Mhz de un FPGA. Esto se debe lograr sin usar ninguna biblioteca además de ieee.std_logic_1164 y ieee.numeric_std.
La...
Estoy tratando de aprender los aspectos básicos del proceso de diseño de hardware para comprender mejor cómo funcionan las CPU, los microcontroladores, etc., y cómo se crean.
Mi búsqueda en Google me dio muchos artículos con información como...
Estoy tratando de escribir un código verilog para un generador de secuencias de Fibonacci. Su salida será el número n de Fibonacci, donde n es la salida del conteo. El código funciona para count = 2. Pero cuando el conteo aumenta, todos los valo...