Recibo el error "el diseño no contiene instancias", pero no puedo descubrir la causa del error a pesar de buscar en Google y probar las soluciones sugeridas en los foros. A continuación se muestra un resumen del informe para el diseño, ¿alguna i...
Necesito tomar una entrada HDMI sin cifrar, almacenar en búfer esa memoria, superponerla si es posible, luego codificarla como mpeg2-ts y enviarla a un dispositivo externo. Podría hacer eso con un raspberrypi y un hdmi externo a csi chip, o podr...
Soy nuevo en la programación para FPGA, por lo que me recomendaron usar un traductor de C / C ++ a VHDL disponible.
El problema es que hay toneladas de información y casi todos los programas buenos necesitan una licencia costosa.
Me darán...
Estoy trabajando en la implementación de un divisor polinomial, la operación es la siguiente:
Compruebe MSB del numerador:
si 1 XOR con Denom entonces desplaza Denom a la derecha
si 0 Num es el mismo y Denom también se desplaza a la derecha
A...
Soy nuevo en FPGA. Mientras hacía cálculos, descubrí que no puedo ingresar el número en tiempo real a FPGA. Mi instructor me dijo que escribiera mis números (o datos) en un archivo de texto en la PC y que los transfiera a FPGA en tiempo real a t...
¿es posible en general implementar un duplicador de frecuencia completamente en FPGA? Vi algunas implementaciones en google al retrasar la entrada y XOR con la original. Pero también dicen que este método no es confiable y es posible que no logr...
Quiero crear un controlador PWM de Led y creo que es fácil, pero una línea en mi código genera más advertencias que todos mis pequeños proyectos anteriores. Aquí está el código:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.A...
Planeo formar una matriz de micrófonos con 4 micrófonos MEMS y realizar el procesamiento de la señal (formación de haz) en matlab / C en mi PC.
Los micrófonos MEMS que uso tienen una interfaz I²S digital con datos de alta precisión de 24 bits...
Estoy implementando un sumador simple que se realiza en VHDL en una placa BASYS2.
Este es el siguiente código:
entity adder is
port (
a, b : in std_logic_vector(3 downto 0);
sum : out std_logic_vector(3 downto...
Quiero un contador que el bit más significativo alterna cada 2 segundos, y obtiene los valores 0 y 1. Así, por ejemplo, tendrá 0 por 2 segundos y luego 1 por otros 2 segundos, etc.
Lo necesito así porque voy a conectar el bit más significativo...