Preguntas con etiqueta 'hdl'

1
respuesta

usando la instrucción generar en verilog

Estoy usando anidados para bucles usando el módulo de generación para crear múltiples instancias de submódulos en verilog Esto es para encontrar determinantes 4x4. Generé los submódulos con entradas proporcionadas en consecuencia, pero quiero al...
0
respuestas

¿Puede el codificador MATLAB HDL admitir LUT para el modo de punto flotante nativo?

Estoy intentando implementar el codificador HDL en Simulink para convertir un subsistema a HDL que toma 2 entradas para una tabla de búsqueda 2D (LUT) y emite una señal. Esto funciona bien si el tipo de datos es un punto fijo, pero estoy tratand...
2
respuestas

Lenguaje de descripción de hardware (HDL) de señales mixtas a nivel de sistema y software (editor, simulador)?

Estoy buscando dispositivos (conceptuales / software) para simplificar y unificar nuestro método actual de planificación y documentación de sistemas de control industrial, especialmente para ayudar con la depuración. Actualmente se trata de una...
1
respuesta

VHDL Style Checker / Check Style

¿Hay un verificador de estilo para VHDL? Encontré pautas de estilo , pero no encontré nada como el estilo de verificación . Gracias de antemano!     
0
respuestas

ABEL-HDL - Valores predeterminados / restablecidos

Tengo como tarea escolar hacer un reloj & calendario en un HDL. Como fuente tengo un oscilador de 10 MHz, que logré "reducir la velocidad" a 1 Hz, como quiero. Tengo contadores asíncronos por segundos, minutos, ... hasta años. Hasta ahora pa...
0
respuestas

¿Cómo usar GENERIC con señales internas en VHDL?

Estoy intentando aprender la palabra clave GENERIC en VHDL: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity adder is generic (N: integer := 4); Port ( Cin : in STD_LOGIC...
2
respuestas

En Xilinx Vivado, desajuste de simulación entre las implementaciones de comportamiento y post-síntesis

Mientras se diseña PISO (paralelo en serie fuera) en Xilinx Vivado usando Verilog, la forma de onda de salida de la simulación de comportamiento (nivel RTL, pre-síntesis) muestra un valor correcto (salida deseada) pero post-síntesis o post-imple...
1
respuesta

Error al crear una tarea en un archivo separado en verilog

module tb(); reg [7:0] a = 1; reg [7:0] b; initial begin AddTask(a, b); $display("%d", b); end task AddTask; input [7:0] a; output reg[7:0] b; begin b = a + 1; end...
0
respuestas

¿Cómo puedo conectar dos unidades de diseño en simulación de modelos?

Motivación: Cuando construyo un componente de hardware que consta de muchos subcomponentes, entonces necesito probar los subcomponentes antes de conectarlos y hacer un banco de pruebas completo en VHDL. En algunos casos, probar la funcionalida...
1
respuesta

Dividir un número de 512 bits en 16 palabras de 32 bits usando HDL [cerrado]

¿Cómo dividir un número binario de 512 bits en 32 palabras de 16 bits utilizando HDL?