Preguntas con etiqueta 'state-machines'

1
respuesta

Máquina de estados finitos para x / 3

Me pidieron que diseñara un FSM para generar x / 3 sin el resto. Esto debe implementarse utilizando un sistema síncrono definido de la siguiente manera: entrada: en cada ciclo de reloj t, un bit x [t] salida: en cada ciclo de reloj t, u...
1
respuesta

Multiplexor automatizado en vhdl

Me gustaría hacer un MUXer que cambie entre 2 señales, digamos A y B. Las señales A y B también generan ambas interrupciones. El MUXer cuenta las interrupciones y, por ejemplo, después de n-interrupciones de A, la salida se convertirá en la de B...
1
respuesta

Circuito de modelado desde FSM usando Verilog

Estoy tratando de entender el concepto de modelar un circuito desde un FSM en Verilog. He intentado anotar el procedimiento que he usado en la imagen de abajo para asegurarme de que lo hice correctamente: Segúnlasolución,elcircuitoeselsiguie...
2
respuestas

¿Generar diagrama de estado a partir de código VHDL?

¿Existe una herramienta que genere diagramas de estado a partir del código VHDL? También hay una forma fácil de sangrar el código VHDL como en Visual Studio si presiono ctrl + i it sangra.     
1
respuesta

¿Tabla de salida equivalente al diagrama de estado?

Tengo el siguiente diagrama de estado para el que se supone que debo construir una tabla de salida. Tengo la respuesta, pero no entiendo cómo derivaron esta tabla de salida. Si alguien pudiera explicarme una de las líneas de la tabla, para acla...
2
respuestas

Cómo determinar cuáles deberían ser los estados en este diagrama de estado

Estoy tratando de hacer un diagrama de estado simple para entender un concepto en clase. Hay una entrada y una salida \ $ \ a la izquierda (X \ \ text {y} \ Y \ \ text {digamos} \ a la derecha) \ $. La salida es \ $ 1 \ $ si una entrada es falsa...
1
respuesta

¿Cómo implemento una máquina de estado finito simple con flip-flops 2 T?

La siguiente máquina de estados finitos (FSM # 1) sepuedeimplementarcon2Tflip-flopsasí: Esto tiene sentido porque tiene 4 estados diferentes {00,01,10,11} y el flip-flip TA maneja el bit izquierdo mientras que el flip-flop TB maneja el bit...
3
respuestas

¿Qué sucede cuando no hay una variable de entrada específica en un diagrama lógico utilizando un flip flop JK?

Estoy tratando de hacer algunos deberes relacionados con este diagrama de circuito: Pero estoy confundido en cuanto a cuál sería la entrada para construir una tabla de estado. ¿Tendré que usar los estados de los flip flops como variables...
1
respuesta

One-hot fsm in vhdl

Me gustaría codificar un fsm de un solo calor en vhdl. He hecho muchos en verilog pero mi empleador actual prefiere vhdl. En verilog usaría la "declaración de caso inverso" (caso 1'b1) para comparar cada bit en el vector de estado en paralelo. L...
1
respuesta

En la práctica FSM el siguiente estado es función del estado actual y entradas actuales, ¿qué sucede si el siguiente estado es función del estado actual y el estado anterior?

Hace mucho tiempo me encontré con un FSM interesante en el que el siguiente estado era una función del estado actual y el estado anterior, junto con los valores de entrada actuales. Aquí el estado anterior no es el estado del ciclo del reloj ant...