Preguntas con etiqueta 'state-machines'

1
respuesta

¿Existe una forma “estándar” de verificar el HDL de una máquina de estado?

Las máquinas de estado son un patrón que se usa muy a menudo para escribir diseños sincrónicos. Sirven como los controladores en el diseño. Entonces, ¿hay una manera estándar de verificarlos si se escriben usando VHDL? ¿O es mejor usar alguna GU...
1
respuesta

Detector de secuencia Moore “01010”

Mi tarea es diseñar el detector de secuencia de Moore. Como dijo mi maestro, mi gráfica está bien. Escribílossiguientesestadosysalidas,luegodecidíquéchanclasusaré.ConlasmesasdeKarnaugh,lasfuncionesmiminalesparaellos.Miproblemaesquenofuncionacorr...
2
respuestas

Entrada única para transiciones de estado consecutivas en un FSM: evitando caídas

Considere el siguiente diagrama de estado donde las entradas son c y v . El sistema también recibe un reloj de alta frecuencia clk , aproximadamente 50 MHz. Comosemuestraeneldiagrama,laprimeraentradaseusaparaavanzaraladerec...
1
respuesta

detector de secuencia en verilog

Tengo la tarea de construir un detector de secuencia Aquíestáelcódigo:/*ThisdesignmodelsasequencedetectorusingMealyFSM.*Wheneverthesequence1101occurs,outputgoeshigh.*Overlappingsequencesareallowed.*/modulefirstFSM(inputwireclk,inputwirerst,in...
2
respuestas

Diseñar una máquina Moore

Para un proyecto de clase, debo diseñar una Máquina Moore basada en un problema que nos dieron. Ya lo he hecho con una máquina de Mealy, pero me estoy encontrando con errores con la máquina de Moore. Específicamente, mi diseño no se "apegará" a...
2
respuestas

¿Cómo enviar un paquete cada n ciclos de reloj en verilog?

Soy bastante nuevo en Verilog y en general Diseño Digital. Estoy trabajando en un proyecto que tiene una máquina de estado. El módulo, en un estado particular, recibe un paquete de solicitud de lectura de otro módulo y tengo que descodificar el...
2
respuestas

Modelando el ascensor como una máquina de estados finitos

Este es mi primer post aquí. Encantado de conocerlos a todos! He leído una pregunta relacionada Aquí y todavía tengo problemas. La tarea: Hay un edificio que consta de 7 pisos (indexado 0-6). Diseñe un sistema que controle el ascensor...
2
respuestas

Diseño de FSM usando D flip flop

Quiero implementar el diagrama de estado usando D flip flop sin usar K-map debido a la complejidad de 5 variable K-map. ¿Existe algún otro método por el cual se pueda implementar? El diagrama de estado se muestra aquí:     
4
respuestas

Máquina de estado de 9 bits

Estoy trabajando en una máquina de estado que conducirá un bus de estado para un proyecto personal. La máquina de estado tendrá un patrón de salida como el siguiente: 100000000 010000000 001000000 000100000 000010000 000001000 000000100 000000...
3
respuestas

Implementación de control de intercambio de mensajes SCPI

Esto es más una cuestión de software, pero pensé que se sabría más sobre SCPI (IEEE 488.2) aquí. Estoy implementando un analizador SCPI serial completo (usando IEEE 1174) en un dispositivo IC, pero me pregunto cuál es la mejor manera de imple...