Preguntas con etiqueta 'state-machines'

1
respuesta

Modelo de FSM de máquina de estado finito del filtro FIR en VHDL para FPGA

Quiero hacer un modelo FSM de FIR, para eso necesito escribir la línea del código de cálculo FIR en la implementación de FSM. Aquí está el código real y correcto para FIR entity fir_4tap is port( Clk : in std_logic; --clock signal...
1
respuesta

¿Cómo se calcula una máquina de producto a partir de dos tablas de transición de máquina de estado finito?

Se me ha pedido que muestre que 2 máquinas de estado finito son equivalentes al calcular la máquina del producto de ambas. A continuación se muestra una imagen de 2 tablas de transición correspondientes a 2 máquinas de estados finitos. ¿Cómo...
1
respuesta

Producir una unidad de diseño VHDL a partir de un diagrama de estado

Necesito ayuda para entender un diagrama de flujo de estado. Se supone que debo diseñarlo en VHDL como un ejercicio para principiantes. He leído los tutoriales de VHDL en nandland.com y puedo decir que entiendo los conceptos básicos de VHDL....
1
respuesta

¡¡Advertencia !! Latch tiene un comportamiento inseguro (vhdl)

¿la advertencia afectará el resultado de salida? ¿Por qué sucede esta advertencia? Este es mi código library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity ASM is port(clk, rst: in std_logic; I :in std_logic;...
1
respuesta

Activo alto, los circuitos de borde ascendente actualizan el estado ¿cuándo exactamente?

Soy muy nuevo en ingeniería eléctrica. En un examen reciente, tuvimos un circuito con dos chanclas JK conectadas en serie entre sí. El circuito está al lado del punto, sin embargo. Ambos flip-flops fueron activos-altos y tuvieron un retardo τ...
1
respuesta

Obtener funciones lógicas demasiado complicadas al diseñar una máquina de estados, ¿hay alguna forma de simplificarlas?

Tengo un pequeño problema al intentar resolver un ejercicio de máquina de estados. Básicamente se supone que debo diseñar el bloqueo, cuando obtengamos la entrada correcta en secuencia, etc. se abrirá. El problema son las expresiones lógicas...
2
respuestas

Superposición de bordes de reloj y datos en diseños de máquinas de estados múltiples

Tengo una pregunta general sobre los diseños de lógica de máquina de estado múltiple. Piense en un sistema que tenga múltiples máquinas de estados finitos con un solo reloj y flip flops de bordes crecientes. Estas máquinas comparten algunos de s...
3
respuestas

diseño digital basado en máquina de estado finito

¿Cómo implemento un diseño digital específico utilizando el modelo mealy y luego implemento el mismo diseño nuevamente utilizando el modelo de Moore? ¿Alguien puede proporcionar pasos detallados? Gracias. Actualización: En la clase de lógi...
1
respuesta

interruptores de estado en FSM

Tengo un tablero simple con 6 botones, que consta de 3 columnas y 2 filas. Me gustaría detectar el botón presionado. Mi código de abajo funciona con este fsm: scan_fsm : process (reset, clk) begin -- process key_scanner if reset = '...
3
respuestas

¿Cómo elegir el tipo de flip flop para la implementación?

¿Cómo elegir el tipo de flip flop para la implementación en el diagrama de estado de Moore o Mealy? No puedo entender esto. ¿Alguien podría ayudarme? Hay tipo t, tipo d, tipo s-r, tipo j-k. ¿Cómo elegir uno de los mejores flip flop?