Preguntas con etiqueta 'state-machines'

1
respuesta

La diferencia entre la máquina de estado algorítmico (ASM) y el gráfico de transición de estado

Tengo una pregunta muy básica. En qué forma una máquina de estado algorítmica (ASM) es diferente de a gráfico de transición de estado (STG) . ¿Puede alguien tomar un ejemplo muy básico (como un contador binario) para explicar qué problemas en...
1
respuesta

¿Cuáles son los métodos para codificar máquinas de estado finito?

Estoy un poco confundido acerca de estos temas. Ya estudié sistemas combinacionales y opté por los métodos Karnaugh Maps y Quine McCluskey, así que ahora estudié sistemas secuenciales y se supone que debo estudiar los métodos equivalentes que es...
1
respuesta

Recibo una advertencia de que se genera un pestillo: por qué

Cuando compilo mi código VHDL aparece la siguiente advertencia: "ADCStateMachine.vhd":337:4:337:7|Latch generated from process for signal StartRowxSN, probably caused by a missing assignment in an if or case stmt" ¿Por qué sucede esto y cóm...
1
respuesta

Llame a una máquina de estados finitos en VHDL

Necesito leer los datos de una SRAM en un paso (algo como READ_RAM (addr) que devuelve el valor almacenado en la SRAM en la dirección "addr"). ¿Es posible crear una función / procedimiento que integre una máquina de estados finitos?     
3
respuestas

¿Puede alguien ayudarme a completar este código de Verilog para este circuito secuencial?

Todavía soy bastante nuevo en Verilog y todo, y podría necesitar ayuda para completar / corregir mi código para este problema. Hice el diagrama de estado, la tabla de estado / asignación, minimicé la ecuación e incluso me hice algunos de los reg...
0
respuestas

¿Podemos aplicar la reducción de estado a una tabla de estado que tenga más de 1 entrada?

Considere el siguiente diagrama de estado: Asigné estados como sigue: a = 00 b = 01 c = 10 d = 11 Pero cuando intenté reducir la tabla, me di cuenta de que: ¡Algunos estados actuales tienen el mismo estado siguiente y la misma salida, pe...
0
respuestas

¿Cómo obtengo la tabla FSM del diagrama dado?

Dada una es pregunta y otra solución. No puedo llegar a esta solución. ¿Alguien puede ayudar aquí?     
1
respuesta

¿Qué pasa con el diseño de mi verilog de la luz trasera?

Estoy trabajando en un código de diseño de luces traseras para automóviles T-bird, aquí está mi diseño: B significa freno, todas las luces encendidas en el descanso, cuando gire a la izquierda las luces en secuencia: 000000 - > 001000 - >...
0
respuestas

Circuito secuencial del detector de rotación

o He intentado abordar este problema, pero parece que no puedo llegar a ninguna parte. No sé si estoy haciendo las cosas demasiado complejas. Se me ocurrió este diagrama de estado y tabla de estado. Pero no sé si esto es demasiado complejo. Ni s...
1
respuesta

Pulsación de tecla / liberación de la tecla

Estoy diseñando un teclado en VHDL y para propósitos de protección, deshabilito presionar una o más teclas mientras se presiona una tecla. Por ejemplo, mientras presiono "7", se ignorará una presión de "2", "3", "5", "4", "1", "0", etc. y no se...