Preguntas con etiqueta 'state-machines'

1
respuesta

La máquina de estado simple con entradas enclavadas me confunde

Bueno, esta es una pregunta para la escuela. No espero que alguien me dé la respuesta pensada, solo necesito que alguien me indique la dirección correcta porque estoy confundido y mi tutor está de vacaciones. Aquí está la pregunta:    En e...
2
respuestas

Controlador de semáforo

Tengo que diseñar un circuito secuencial para un controlador de semáforo. Tiene 6 salidas, rojo-verde-amarillo para luces norte / sur y este / oeste. Lo que tiene que suceder es que cada 8 ciclos de reloj (un ciclo de reloj se representa con 1 b...
3
respuestas

¿Cómo elimino los latches en la implementación de FSM Verilog?

Estoy intentando crear un FSM que deja desplazamientos en un registro hasta que el MSB es 1 mientras se cuenta el número de turnos completados. Sin embargo, tengo un problema con los cierres porque no reasigno cada registro en un cambio de estad...
1
respuesta

Diseño basado en estado vs. estado (en verilog)

Recientemente, he estado realizando algunos diseños de nivel principiante a nivel más bajo, desde el inicio hasta la codificación HDL en verilog. Pensé que el diseño basado en FSM, ya sea Mealy o Moore, es la única opción the . Sin embargo, al t...
2
respuestas

Máquinas de estados finitos

Quiero diseñar una máquina de estados finitos que sea similar a un contador de 3 bits. Hay 3 bits de estado (es decir, un número sin firmar de 3 bits) y el contador debe contar por 3. Más específicamente, la secuencia a la que debe someterse es...
3
respuestas

Moore vs mealy, ¿por qué la salida se retrasa en el primero?

No soy un ingeniero (desarrollador de software), pero me gustaría entender por qué se retrasa la salida de la máquina de Moore. Sé que en la máquina de Moore, la salida depende solo del estado, mientras que en la máquina de Mealy, depende tan...
2
respuestas

¿Por qué es este un Moore y no un MM Mealy?

¿Puede alguien explicarme cómo puedo saber qué tipo de FSM tengo? En mi libro de texto leí que la salida en un Mealy FSM se basa tanto en la entrada como en el estado actual, pero en un Moore FSM se basa solo en el estado actual. Entonces, ¿por...
2
respuestas

VHDL - Flip Flop inferiendo una señal

Tengo que diseñar un circuito para contar hasta un número y volver a cero. Debe tener una señal de acarreo (que nombré a_o en mi circuito) como indicador para mostrar que se ha alcanzado el número máximo de contador. El circuito funciona...
3
respuestas

Diseñar una máquina de estados para detectar si la entrada fue alta exactamente 2 veces en los últimos 4 relojes

   Diseñe una máquina Mealy con una entrada de un bit y una salida de un bit. los   la salida es 1 si en los últimos 4 relojes, exactamente 2 de 4 valores en la entrada   son 1. Use chanclas D y puertas discretas. Usa estado binario   tarea...
1
respuesta

¿Cuándo y cómo separar Control y Datapaths para los diseños de hardware?

¿Debemos separar siempre el control y la ruta de datos durante la programación del hardware? ¿Hay alguna ventaja? En caso afirmativo, ¿cuál es la metodología básica seguida para esta estrategia? Estoy tratando de conectar una tarjeta SDHC con FP...